eda cloud ads cic eda cloud ads software user …edacloud/edacloud_rf_v3.1.pdfeda cloud ads cic eda...

49
Ver.3.1 0 2016/04 EDA Cloud ADS CIC EDA Cloud ADS Software User Manual ADS TEL (03) 577-3693 # 885 E-mail [email protected]

Upload: hakhuong

Post on 22-Mar-2018

234 views

Category:

Documents


1 download

TRANSCRIPT

Page 1: EDA Cloud ADS CIC EDA Cloud ADS Software User …edacloud/EDACloud_RF_v3.1.pdfEDA Cloud ADS CIC EDA Cloud ADS Software User Manual ADS TEL (03) 577-3693 # 885 ... ADS Momentum Layout

Ver.3.1 0 2016/04

EDA Cloud ADS

CIC EDA Cloud ADS Software User Manual

ADS

TEL (03) 577-3693 # 885

E-mail [email protected]

Page 2: EDA Cloud ADS CIC EDA Cloud ADS Software User …edacloud/EDACloud_RF_v3.1.pdfEDA Cloud ADS CIC EDA Cloud ADS Software User Manual ADS TEL (03) 577-3693 # 885 ... ADS Momentum Layout

Ver.3.1 1 2016/04

1.0

EDA cloud ADS Flow

2.0 2015.08 2 EDA Cloud ADS EM

3.0 2015.12 2 EDA Cloud ADS EM

A B C 2.0 2 3

3.1 2016.04 B B.2 B.1

Page 3: EDA Cloud ADS CIC EDA Cloud ADS Software User …edacloud/EDACloud_RF_v3.1.pdfEDA Cloud ADS CIC EDA Cloud ADS Software User Manual ADS TEL (03) 577-3693 # 885 ... ADS Momentum Layout

Ver.3.1 2 2016/04

................................................................................................................... 1

1. EDA Cloud ADS Circuit .................................................................... 2

1.1 ADS ................................................................................................ 3

1.2 Foundry Design Kit Library .............................................. 4

1.3 netlist ................................................ 8

1.4 ........................................................................... 12

2. EDA Cloud ADS EM ................................................................. 14

2.1 Mesh .............................................................................. 17

2.2 EM ................................................................... 18

2.3 EM .......................................................................... 21

3. EDA Cloud ADS EM/Circuit Co-simulation ................................... 24

3.1 EM Layout SNP ...................................... 25

3.2 SNP ADS Circuit netlist ....................... 27

4. ............................................................................................. 31

5. .................................................................................................... 32

................................................................................................................. 33

A. DC Annotation .................................................................................... 33

B. Hspice ADS .......................................................................... 35

Page 4: EDA Cloud ADS CIC EDA Cloud ADS Software User …edacloud/EDACloud_RF_v3.1.pdfEDA Cloud ADS CIC EDA Cloud ADS Software User Manual ADS TEL (03) 577-3693 # 885 ... ADS Momentum Layout

Ver.3.1 3 2016/04

B.1 File > Import ........................................................................ 35

B.2 Wizard ........................................................................................... 39

C. GDS ADS Layout ................................................................ 44

Page 6: EDA Cloud ADS CIC EDA Cloud ADS Software User …edacloud/EDACloud_RF_v3.1.pdfEDA Cloud ADS CIC EDA Cloud ADS Software User Manual ADS TEL (03) 577-3693 # 885 ... ADS Momentum Layout

Ver.3.1 2 2016/04

1. EDA Cloud ADS Circuit

EDA Cloud ADS Circuit ADS Circuit

(i) ADS Simulate netlist

TSMC PDK

(ii) dataset /data

ADS Data Display Window

(a) ADS

(b) Foundry Design Kit Library

(c) netlist

(d)

Page 7: EDA Cloud ADS CIC EDA Cloud ADS Software User …edacloud/EDACloud_RF_v3.1.pdfEDA Cloud ADS CIC EDA Cloud ADS Software User Manual ADS TEL (03) 577-3693 # 885 ... ADS Momentum Layout

Ver.3.1 3 2016/04

1.1 ADS

ads

ads ($ RedHat Linux )

$ mkdir ads

$ cd ads

$ Rads

Page 8: EDA Cloud ADS CIC EDA Cloud ADS Software User …edacloud/EDACloud_RF_v3.1.pdfEDA Cloud ADS CIC EDA Cloud ADS Software User Manual ADS TEL (03) 577-3693 # 885 ... ADS Momentum Layout

Ver.3.1 4 2016/04

1.2 Foundry Design Kit Library

TSMC90GUTM

(1) TSMC90GUTM ADS Design Kit

terminal terminal

$ help PDK

1.2-1 $ help PDK PDK

$ more /cad/PDK/TN90GUTM/PDK_TN90GUTM.list

ADS lib.defs

Page 9: EDA Cloud ADS CIC EDA Cloud ADS Software User …edacloud/EDACloud_RF_v3.1.pdfEDA Cloud ADS CIC EDA Cloud ADS Software User Manual ADS TEL (03) 577-3693 # 885 ... ADS Momentum Layout

Ver.3.1 5 2016/04

1.2-2 PDK list ADS lib.defs

TSMC90GUTM ADS Design Kit :

/cad/PDK/TN90GUTM/ADS/lib.defs

Page 10: EDA Cloud ADS CIC EDA Cloud ADS Software User …edacloud/EDACloud_RF_v3.1.pdfEDA Cloud ADS CIC EDA Cloud ADS Software User Manual ADS TEL (03) 577-3693 # 885 ... ADS Momentum Layout

Ver.3.1 6 2016/04

(2) Foundry Design Kit Library

TSMC90GUTM

Main Window > DesignKits > Manage Favorite Design Kits

1.2-3 Foundry Design Kit Library

library

Main Window > DesignKits > Manage Favorite Design Kits > Add Library

Definition File

1.2-4 library

Page 11: EDA Cloud ADS CIC EDA Cloud ADS Software User …edacloud/EDACloud_RF_v3.1.pdfEDA Cloud ADS CIC EDA Cloud ADS Software User Manual ADS TEL (03) 577-3693 # 885 ... ADS Momentum Layout

Ver.3.1 7 2016/04

Foundry Design Kit lib.defs

1.2-5 Foundry Design Kit lib.defs

Foundry Design Kit

1.2-6 Foundry Design Kit

Page 12: EDA Cloud ADS CIC EDA Cloud ADS Software User …edacloud/EDACloud_RF_v3.1.pdfEDA Cloud ADS CIC EDA Cloud ADS Software User Manual ADS TEL (03) 577-3693 # 885 ... ADS Momentum Layout

Ver.3.1 8 2016/04

1.3 netlist

(1) Workspace

Main Window > File > New > Workspace

Myworkspace_wrk ( ) TSMC90GUTM PDK

Library

1.3-1 Workspace TSMC90GUTM PDK Library

(2)

T90ind_test Schematic View

Main Window > File > New > Schematic

T90ind_test

Page 13: EDA Cloud ADS CIC EDA Cloud ADS Software User …edacloud/EDACloud_RF_v3.1.pdfEDA Cloud ADS CIC EDA Cloud ADS Software User Manual ADS TEL (03) 577-3693 # 885 ... ADS Momentum Layout

Ver.3.1 9 2016/04

1.3-2 Schematic

(3) ADS

Design Kit RF CMOS 90nm 3.3V v1.1 S

1.3-3 TN90

Page 14: EDA Cloud ADS CIC EDA Cloud ADS Software User …edacloud/EDACloud_RF_v3.1.pdfEDA Cloud ADS CIC EDA Cloud ADS Software User Manual ADS TEL (03) 577-3693 # 885 ... ADS Momentum Layout

Ver.3.1 10 2016/04

(4) netlist

Schematic Window > Simulate> Generate Netlist

1.3-4 netlist

netlist

1.3-5 netlist

Page 15: EDA Cloud ADS CIC EDA Cloud ADS Software User …edacloud/EDACloud_RF_v3.1.pdfEDA Cloud ADS CIC EDA Cloud ADS Software User Manual ADS TEL (03) 577-3693 # 885 ... ADS Momentum Layout

Ver.3.1 11 2016/04

netlist T90ind_test.net

Save File (on Cfc) Window > File > Save As

1.3-6 netlist

Page 16: EDA Cloud ADS CIC EDA Cloud ADS Software User …edacloud/EDACloud_RF_v3.1.pdfEDA Cloud ADS CIC EDA Cloud ADS Software User Manual ADS TEL (03) 577-3693 # 885 ... ADS Momentum Layout

Ver.3.1 12 2016/04

1.4

(1)

terminal netlist workspace

$ Qhpeesofsim T90ind_test.net

1.4-1 Qhpeesofsim

(2)

dataset workspace ( netlist )

HPEESOFSIM_result

dataset /data

$ cp ./ HPEESOFSIM_result/T90ind_test.ds ./data

Page 17: EDA Cloud ADS CIC EDA Cloud ADS Software User …edacloud/EDACloud_RF_v3.1.pdfEDA Cloud ADS CIC EDA Cloud ADS Software User Manual ADS TEL (03) 577-3693 # 885 ... ADS Momentum Layout

Ver.3.1 13 2016/04

1.4-2 dataset /data

(3) Data Display Window

Data Display ADS

T90ind_test

1.4-3 ADS netlist Data Display Window

Page 18: EDA Cloud ADS CIC EDA Cloud ADS Software User …edacloud/EDACloud_RF_v3.1.pdfEDA Cloud ADS CIC EDA Cloud ADS Software User Manual ADS TEL (03) 577-3693 # 885 ... ADS Momentum Layout

Ver.3.1 14 2016/04

2. EDA Cloud ADS EM

ADS Momentum EM Mesh

Mesh Generation Summary Matrix Size

S-parameter Simulation Matrix

Size

ADS Example Spiral Mesh

Page 19: EDA Cloud ADS CIC EDA Cloud ADS Software User …edacloud/EDACloud_RF_v3.1.pdfEDA Cloud ADS CIC EDA Cloud ADS Software User Manual ADS TEL (03) 577-3693 # 885 ... ADS Momentum Layout

Ver.3.1 15 2016/04

ADS Example Spiral Substrate

2-1 Spiral Example

Page 20: EDA Cloud ADS CIC EDA Cloud ADS Software User …edacloud/EDACloud_RF_v3.1.pdfEDA Cloud ADS CIC EDA Cloud ADS Software User Manual ADS TEL (03) 577-3693 # 885 ... ADS Momentum Layout

Ver.3.1 16 2016/04

2-2 Spiral Example Substrate

Page 21: EDA Cloud ADS CIC EDA Cloud ADS Software User …edacloud/EDACloud_RF_v3.1.pdfEDA Cloud ADS CIC EDA Cloud ADS Software User Manual ADS TEL (03) 577-3693 # 885 ... ADS Momentum Layout

Ver.3.1 17 2016/04

2.1 Mesh

Mesh

Layout Window > EM > Simulation Setup > Generate > Mesh > Go

2.1-1 Mesh

Mesh

Page 22: EDA Cloud ADS CIC EDA Cloud ADS Software User …edacloud/EDACloud_RF_v3.1.pdfEDA Cloud ADS CIC EDA Cloud ADS Software User Manual ADS TEL (03) 577-3693 # 885 ... ADS Momentum Layout

Ver.3.1 18 2016/04

2.2 EM

(1) Mesh Summary

EM > Show Most Recent > Mesh Summary

2.2-1 Spiral Example EM Mesh Summary

Mesh Summary cells Edge Current

Matrix size Memory

Page 23: EDA Cloud ADS CIC EDA Cloud ADS Software User …edacloud/EDACloud_RF_v3.1.pdfEDA Cloud ADS CIC EDA Cloud ADS Software User Manual ADS TEL (03) 577-3693 # 885 ... ADS Momentum Layout

Ver.3.1 19 2016/04

Matrix size > 30,000 Mesh

Summary 2.3

(2) S-parameter Simulation Summary

Matrix size < 30,000 S-parameter

EM > Show Most Recent > S-param Simulation Summary

2.2-2 Spiral Example EM S-parameter Simulation Summary

Page 24: EDA Cloud ADS CIC EDA Cloud ADS Software User …edacloud/EDACloud_RF_v3.1.pdfEDA Cloud ADS CIC EDA Cloud ADS Software User Manual ADS TEL (03) 577-3693 # 885 ... ADS Momentum Layout

Ver.3.1 20 2016/04

Simulation Summary Matrix size

Memory Adaptive 100.0

% of frequency range covered

Page 25: EDA Cloud ADS CIC EDA Cloud ADS Software User …edacloud/EDACloud_RF_v3.1.pdfEDA Cloud ADS CIC EDA Cloud ADS Software User Manual ADS TEL (03) 577-3693 # 885 ... ADS Momentum Layout

Ver.3.1 21 2016/04

2.3 EM

EM

EM

/

Mode Mom RF Mom W

Mode

Momentum RF

Help >

Electrically Small

EM > Simulation Setup > Simulator

>10 Layers

EM > Simulation Setup > Substrate

Fstop

Mesh Density

20 cells/ @1GHz = 1 cells/λ@20GHz

EM > Simulation Setup > Frequency

> Fstop

EM > Simulation Setup > Frequency

Mesh Density < 20 cells/λ > 30 cells/λ

” ”

EM > Simulation Setup > Options >

Mesh > Mesh Density >

Cell/Wavelength

Edge Mesh no yes EM > Simulation Setup > Mesh >

Edge Mesh

Mesh

Reduction yes no

EM > Simulation Setup > Mesh >

Mesh > Mesh Reduction

Overlap

Extraction Normal Aggressive

EM > Simulation Setup > Mesh >

Thin layer overlap extraction

Page 26: EDA Cloud ADS CIC EDA Cloud ADS Software User …edacloud/EDACloud_RF_v3.1.pdfEDA Cloud ADS CIC EDA Cloud ADS Software User Manual ADS TEL (03) 577-3693 # 885 ... ADS Momentum Layout

Ver.3.1 22 2016/04

Metal

Thickness 0

Finite

Thickness

EM > Simulation Setup > Substrate

metal thickness

IC via, contact

via array

via

EM > Simulation Setup >

Preprocessor > Merge Standard Via

Array Mesh

2.3-1 EDA Cloud ADS EM

queue

( EM ) EM

CPU

Page 27: EDA Cloud ADS CIC EDA Cloud ADS Software User …edacloud/EDACloud_RF_v3.1.pdfEDA Cloud ADS CIC EDA Cloud ADS Software User Manual ADS TEL (03) 577-3693 # 885 ... ADS Momentum Layout

Ver.3.1 23 2016/04

EM Queue

2.3-1 EM Queue

Page 28: EDA Cloud ADS CIC EDA Cloud ADS Software User …edacloud/EDACloud_RF_v3.1.pdfEDA Cloud ADS CIC EDA Cloud ADS Software User Manual ADS TEL (03) 577-3693 # 885 ... ADS Momentum Layout

Ver.3.1 24 2016/04

3. EDA Cloud ADS EM/Circuit Co-simulation

EDA Cloud ADS EM- Circuit

(co-sim)

(1) EM layout SNP

(2) SNP ADS Circuit netlist

Page 29: EDA Cloud ADS CIC EDA Cloud ADS Software User …edacloud/EDACloud_RF_v3.1.pdfEDA Cloud ADS CIC EDA Cloud ADS Software User Manual ADS TEL (03) 577-3693 # 885 ... ADS Momentum Layout

Ver.3.1 25 2016/04

3.1 EM Layout SNP

(1) layout Dataset

ADS Momentum Layout Dataset

Transmission Line (TML)

3.1-1 ADS Momentum EM

Page 30: EDA Cloud ADS CIC EDA Cloud ADS Software User …edacloud/EDACloud_RF_v3.1.pdfEDA Cloud ADS CIC EDA Cloud ADS Software User Manual ADS TEL (03) 577-3693 # 885 ... ADS Momentum Layout

Ver.3.1 26 2016/04

(2) dataset .snp

dataset .snp 2-port

.s2p

Data Display Window

Data Display Window > Tools > Data File Tool

3.1- 2 dataset .snp

Page 31: EDA Cloud ADS CIC EDA Cloud ADS Software User …edacloud/EDACloud_RF_v3.1.pdfEDA Cloud ADS CIC EDA Cloud ADS Software User Manual ADS TEL (03) 577-3693 # 885 ... ADS Momentum Layout

Ver.3.1 27 2016/04

3.2 SNP ADS Circuit netlist

(1) ADS EM- Circuit co-sim

EM dataset .s2p

netlist

Simulate > Generate Netlist

3.2-1 EM dataset .s2p

Page 32: EDA Cloud ADS CIC EDA Cloud ADS Software User …edacloud/EDACloud_RF_v3.1.pdfEDA Cloud ADS CIC EDA Cloud ADS Software User Manual ADS TEL (03) 577-3693 # 885 ... ADS Momentum Layout

Ver.3.1 28 2016/04

(2) netlist .s2p

netlist .s2p

.s2p Qhpeesofsim (EDA Cloud

)

3.2-2 netlist .s2p

netlist

File > Save As > xxx.net

3.2-3 netlist .s2p

Page 33: EDA Cloud ADS CIC EDA Cloud ADS Software User …edacloud/EDACloud_RF_v3.1.pdfEDA Cloud ADS CIC EDA Cloud ADS Software User Manual ADS TEL (03) 577-3693 # 885 ... ADS Momentum Layout

Ver.3.1 29 2016/04

(3)

Qhpeesofsim dataset /data 1

EDA Cloud ADS circuit

Qhpeesofsim xxx.net

3.2-4 .s2p netlist Qhpeesofsim

Page 34: EDA Cloud ADS CIC EDA Cloud ADS Software User …edacloud/EDACloud_RF_v3.1.pdfEDA Cloud ADS CIC EDA Cloud ADS Software User Manual ADS TEL (03) 577-3693 # 885 ... ADS Momentum Layout

Ver.3.1 30 2016/04

(4)

ADS EM-Circuit co-sim EM Layout

( 2.1-1) ( )

3.2-5 .s2p netlist hpeesofsim

CIC EDA Cloud ADS Momentum EM

Page 35: EDA Cloud ADS CIC EDA Cloud ADS Software User …edacloud/EDACloud_RF_v3.1.pdfEDA Cloud ADS CIC EDA Cloud ADS Software User Manual ADS TEL (03) 577-3693 # 885 ... ADS Momentum Layout

Ver.3.1 31 2016/04

4.

ADS Advanced Design System

EM Electro Magnetic

PDK Process Design Kit

Page 37: EDA Cloud ADS CIC EDA Cloud ADS Software User …edacloud/EDACloud_RF_v3.1.pdfEDA Cloud ADS CIC EDA Cloud ADS Software User Manual ADS TEL (03) 577-3693 # 885 ... ADS Momentum Layout

Ver.3.1 33 2016/04

A. DC Annotation

EDA Cloud ADS Simulate DC

Simulate > Annotate DC Solution

I_Probe V_Probe ( Probe Component

Palette )

A-1 DC Annotation

Simulate > Detailed Device Operation Point

DC controller Parameters Data Display

2

Page 38: EDA Cloud ADS CIC EDA Cloud ADS Software User …edacloud/EDACloud_RF_v3.1.pdfEDA Cloud ADS CIC EDA Cloud ADS Software User Manual ADS TEL (03) 577-3693 # 885 ... ADS Momentum Layout

Ver.3.1 34 2016/04

DC Simulator

Detailed Device Operation Point

Page 39: EDA Cloud ADS CIC EDA Cloud ADS Software User …edacloud/EDACloud_RF_v3.1.pdfEDA Cloud ADS CIC EDA Cloud ADS Software User Manual ADS TEL (03) 577-3693 # 885 ... ADS Momentum Layout

Ver.3.1 35 2016/04

B. Hspice ADS

Hspice ADS File > Import Wizard

File Import Wizard Black Box

Hspice ADS File Import

B.1 File > Import

Help > Search > Import Netlist

B.1-1 Hspice ADS Help

Page 40: EDA Cloud ADS CIC EDA Cloud ADS Software User …edacloud/EDACloud_RF_v3.1.pdfEDA Cloud ADS CIC EDA Cloud ADS Software User Manual ADS TEL (03) 577-3693 # 885 ... ADS Momentum Layout

Ver.3.1 36 2016/04

(1) HSpice :

B.1-2 HSpice ex1.sp

(2) Hspice ADS schematic

ADS Schematic Window > File > Import

B.1-3 Hspice ADS schematic

Page 41: EDA Cloud ADS CIC EDA Cloud ADS Software User …edacloud/EDACloud_RF_v3.1.pdfEDA Cloud ADS CIC EDA Cloud ADS Software User Manual ADS TEL (03) 577-3693 # 885 ... ADS Momentum Layout

Ver.3.1 37 2016/04

B.1-4 Hspice ADS

vin

TSMC PDK

F7 Simulate

Page 42: EDA Cloud ADS CIC EDA Cloud ADS Software User …edacloud/EDACloud_RF_v3.1.pdfEDA Cloud ADS CIC EDA Cloud ADS Software User Manual ADS TEL (03) 577-3693 # 885 ... ADS Momentum Layout

Ver.3.1 38 2016/04

B.1-5 Hspice ADS

Hspice Spectre Spice ADS

100%

Help

vin

Page 43: EDA Cloud ADS CIC EDA Cloud ADS Software User …edacloud/EDACloud_RF_v3.1.pdfEDA Cloud ADS CIC EDA Cloud ADS Software User Manual ADS TEL (03) 577-3693 # 885 ... ADS Momentum Layout

Ver.3.1 39 2016/04

B.2 Wizard

Schematic Window > Tools > Hspice Compatibility Component > Wizard

B.2-1 Hspice ADS

Page 44: EDA Cloud ADS CIC EDA Cloud ADS Software User …edacloud/EDACloud_RF_v3.1.pdfEDA Cloud ADS CIC EDA Cloud ADS Software User Manual ADS TEL (03) 577-3693 # 885 ... ADS Momentum Layout

Ver.3.1 40 2016/04

ADS2015 example file

Step1:

B.2-2 Hspice ADS

Step2 Next

Page 45: EDA Cloud ADS CIC EDA Cloud ADS Software User …edacloud/EDACloud_RF_v3.1.pdfEDA Cloud ADS CIC EDA Cloud ADS Software User Manual ADS TEL (03) 577-3693 # 885 ... ADS Momentum Layout

Ver.3.1 41 2016/04

Step 3:

HSPICE Nodes ADS Pins

B.2-3 Hspice ADS

Step4 Next ( symbol)

Page 46: EDA Cloud ADS CIC EDA Cloud ADS Software User …edacloud/EDACloud_RF_v3.1.pdfEDA Cloud ADS CIC EDA Cloud ADS Software User Manual ADS TEL (03) 577-3693 # 885 ... ADS Momentum Layout

Ver.3.1 42 2016/04

Step 5:

B.2-4 Hspice ADS

Step 6 Summary Finish Hspice netlist include

B.2-5 Hspice ADS

Page 47: EDA Cloud ADS CIC EDA Cloud ADS Software User …edacloud/EDACloud_RF_v3.1.pdfEDA Cloud ADS CIC EDA Cloud ADS Software User Manual ADS TEL (03) 577-3693 # 885 ... ADS Momentum Layout

Ver.3.1 43 2016/04

ADS

EDA Cloud .sp

/cad/agilent/ads/ads2015_01/designguides/projects/DDR3/

EM co-sim LPE

/cad/agilent/ads/cur/examples/Momentum/emcktcosim

Help

Hspice ADS 100% Help

Page 48: EDA Cloud ADS CIC EDA Cloud ADS Software User …edacloud/EDACloud_RF_v3.1.pdfEDA Cloud ADS CIC EDA Cloud ADS Software User Manual ADS TEL (03) 577-3693 # 885 ... ADS Momentum Layout

Ver.3.1 44 2016/04

C. GDS ADS Layout

Virtuoso layout GDS import ADS Layout

EM ports EM

T18

EDA Cloud T18 full custom layout

GDS Layers

GDS no.

C-1 GDS ADS Layout ( Layers GDS no.)

Full Custom

http://www.cic.org.tw/edacloud/edacloud.jsp

Full-custom EDACloud_FC_v4.5.pdf

Page 49: EDA Cloud ADS CIC EDA Cloud ADS Software User …edacloud/EDACloud_RF_v3.1.pdfEDA Cloud ADS CIC EDA Cloud ADS Software User Manual ADS TEL (03) 577-3693 # 885 ... ADS Momentum Layout

Ver.3.1 45 2016/04

5.5

CIW > File > Export > Stream GDS

ADS

ADS Layout window > File > Import GDSII

ADS Layout