digitimes inc. tel: +886 2 8712-8866 fax: +886 2 8712 …€¦ · ... (shanghai integrated circuit...

4
Press release Celebrating its 20th anniversary, Semicon Taiwan 2015 (September 2-4) will highlight the opportunity, innovation, and sustainability that is inspiring the next big idea in the Semiconductor industry. This year will be the largest Semicon Taiwan show ever ─ with over 700 exhibitors occupying more than 1,400 booths and attracting more than 40,000 visitors. Semicon Taiwan connects attendees with the companies, people, products, and information for advancing the future of design and manufacturing in the advanced electronics industries. 2015, Taiwan is projected to have the highest capex for Semiconductor manufacturing worldwide. Taiwan’s aggressive Semiconductor factory plans are bringing exhibitors and attendees to Semicon. Over 40,000 visitors are expected to attend the exhibition and conferences. According to SEMI market reports, foundry and DRAM are the two sectors of capital equipment investment in Taiwan, with OSATs’ advance packaging facilities as a key growth driver. Fab equipment spending in Taiwan is projected to be about US$10.5 billion in 2015, approaching 30% of the overall industry spending on fab equipment. Overall, Taiwan represents 21% of the installed fab capacity globally and 25% of the installed 300mm capacity. In 2015 alone, companies in Taiwan are forecast to spend US$1.5 billion or more on packaging and test equipment. With the growing importance of packaging and testing, SEMI will host the Silicon in Packaging (SiP) Global Summit 2015 from September 3-4. The two-day SiP Global Summit 2015 consists of two major forums: 3D- IC Technology Forum and Embedded and Wafer Level Package Technology Forum. Semicon Taiwan is also an opportunity to meet and learn from more than 100 of the worldwide major players. Many of the speakers are presenting at the technology programs (SiP, Advanced Technologies in Accelerating Digital Era & IoT, MEMS and IC Design) and the business programs (Executive Summit, CFO Executive Summit, and Market Trends). Speakers at Semicon Taiwan include professionals from: TSMC, UMC, ASE, SPIL, Broadcom, Etron, Amkor, Global Foundries, Advantest, Air Products, Applied Materials, ASM, Challentech , DAS, DISCO, Hauman, Hermes, Henkel, Hypersonic, Jipal, JSR, KLA-Tencor, Kromax, Kulicke & Soffa, Lam Research, Lintec, Marketech, Nippon Thompson, Nissan Chemical Industries, Premtek, Senju Metal Industry, Tokyo Electron, Xcerra and more. Visitors can learn the latest trends and explore innovative solutions at the TechXPOTs ─ learning about innovations and product developments from Marketech, Wholetech, Atlas, Nikko Metals Taiwan, Allied Supreme, Siemens PLM, 59Clean, Aerotech, Am-Power, Atotech, Cica-Huntek Chemical, LeadGas, Linx Consulting, MSG Lithoglas, Lumax, New Fast Technology, NTIO, Particle Measuring Systems, Precision Packaging Materials, Rockwell, Techgo, WaferPlus, Weltall, White Knight, and more. The Sustainable Manufacturing Forum on September 2 will feature presentations from ASE, Atlas, Edwards, Epistar, ERM, Everlight, Evonik, Innolux, Neo Solar, Nohmi Bosai, RCI Engineering, Sahtech, TSMC, and UMC. Semicon Taiwan 20th anniversary celebration activities include: Daily Lucky Draws (Apple Watch and other great prizes), Jing Jing Festival Parades, Birthday Party, “Hooray 20” (Show your ID number or date of birth with the lucky number 20” to enter the lucky spin for exciting prizes). Semicon Taiwan also covers a wide array of critical issues. Business programs will include the Executive Summit, Market Trends Forum, and CFO and Investor Executive Summit. Technology programs include: Semiconductor Materials Forum, Sustainable Manufacturing Forum, Advanced Packaging Technology Symposium, TechXPOT, MEMS Forum, High-Tech Facility International Forum, eMDC Forum, Advanced Technologies in Accelerating Digital Era and IoT Forum, Memory Technology Forum, IC Design Summit, and more. Semicon Taiwan also features: Supplier Search Program and Buyers Briefing. As always, the event features a Leadership Gala dinner, an elite networking event and one of the most important annual executive gatherings for the high-tech industry in Taiwan. SEMI Taiwan hosts Semicon Taiwan with TAITRA and TSIA as co- organizers. The event is advised by the Taiwan Ministry of Economic Affairs. Among the many exhibition technology pavilions, Semicon Taiwan will host: ■ Smart Manufacturing Pavilion ■ Materials Pavilion ■ Precision Machinery Pavilion ■ CMP Pavilion ■ Secondary Market Pavilion ■ AOI (Automated Optical Inspection) Pavilion ■ Taiwan Localization Pavilion ■ High-Tech Facility Pavilion Country pavilions: ■ Belgium Pavilion ■ Holland High Tech Pavilion ■ German Pavilion ■ Technounity Zelenograd Innovation Cluster ■ Cross-Strait Pavilion ■ Kyushu (Japan) Pavilion ■ Korea Pavilion ■ SICA (Shanghai Integrated Circuit Association) Pavilion www.digitimes.com WEDNESDAY September 2, 2015 DIGITIMES Inc. Tel: +886 2 8712-8866 Fax: +886 2 8712-3366 Advertising: [email protected] SEMICON Taiwan is entering its 20th anniversary. The scale of the event will be bigger than ever with over 700 exhibitors and is expected to attract more than 40,000 visitors. Inspiring the next big idea-SEMICON Taiwan kick off

Upload: vuthien

Post on 12-Apr-2018

226 views

Category:

Documents


1 download

TRANSCRIPT

Press release

Celebrating its 20th anniversary, Semicon Taiwan 2015 (September 2-4) will highlight the opportunity, innovation, and sustainability that is inspiring the next big idea in the Semiconductor industry. This year will be the largest Semicon Taiwan show ever ─ with over 700 exhibitors occupying more than 1,400 booths and attracting more than 40,000 visitors. Semicon Taiwan connects attendees with the companies, people, products, and information for advancing the future of design and manufacturing in the advanced electronics industries.

2015, Taiwan is projected to have the highest capex for Semiconductor manufacturing worldwide. Taiwan’s aggressive Semiconductor factory plans are bringing exhibitors and attendees to Semicon. Over 40,000 visitors are expected to attend the exhibition and conferences. According to SEMI market reports, foundry and DRAM are the two sectors of capital equipment investment in Taiwan, with OSATs’ advance packaging facilities as a key growth driver. Fab equipment spending in Taiwan is projected to be about US$10.5 billion in 2015, approaching 30% of the overal l industry spending on fab equipment. Overall, Taiwan represents 21% of the installed fab capacity globally and 25% of the installed 300mm capacity.

In 2015 alone, companies in Taiwan are forecast to spend US$1.5 billion or more on packaging and test equipment. With the growing importance of packaging and testing, SEMI will host

the Silicon in Packaging (SiP) Global Summit 2015 from September 3-4. The two-day SiP Global Summit 2015 consists of two major forums: 3D-IC Technology Forum and Embedded and Wafer Level Package Technology Forum.

S e m i c o n Ta i w a n i s a l s o a n opportunity to meet and learn from more than 100 of the worldwide major players. Many of the speakers are presenting at the technology programs (SiP, Advanced Technologies in Accelerating Digital Era & IoT, MEMS and IC Des ign) and the business programs (Executive Summit, CFO Executive Summit, and Market

Trends). Speakers at Semicon Taiwan include professionals from: TSMC, UMC, ASE, SPIL, Broadcom, Etron, Amkor, Global Foundries, Advantest, Air Products, Applied Materials, ASM, Challentech , DAS, DISCO, Hauman, Hermes, Henkel, Hypersonic, Jipal, JSR, KLA-Tencor, Kromax, Kulicke & Soffa, Lam Research, Lintec, Marketech, Nippon Thompson, Nissan Chemical Industries, Premtek, Senju Metal Industry, Tokyo Electron, Xcerra and more.

Visitors can learn the latest trends and explore innovative solutions at the TechXPOTs ─ learning about innovations and product developments

from Marketech, Wholetech, Atlas, Nikko Metals Taiwan, Allied Supreme, Siemens PLM, 59Clean, Aerotech, Am-Power, Atotech, Cica-Huntek Chemical, LeadGas, Linx Consulting, MSG Lithoglas, Lumax, New Fast Technology, NTIO, Particle Measuring S y s t e m s , P r e c i s i o n P a c k a g i n g M a t e r i a l s , R o c k w e l l , Te c h g o , WaferPlus, Weltall, White Knight, and more.

The Sustainable Manufacturing Forum on September 2 will feature presen ta t ions f rom ASE, At las , Edwards, Epistar, ERM, Everlight, Evonik, Innolux, Neo Solar, Nohmi Bosai, RCI Engineering, Sahtech,

TSMC, and UMC.Semicon Taiwan 20th anniversary

celebration activities include: Daily Lucky Draws (Apple Watch and other great prizes), Jing Jing Festival Parades, Birthday Party, “Hooray 20” (Show your ID number or date of birth with the lucky number “20” to enter the lucky spin for exciting prizes).

Semicon Taiwan also covers a wide array of critical issues. Business programs will include the Executive Summit , Market Trends Forum, and CFO and Investor Executive S u m m i t . Te c h n o l o g y p r o g r a m s include: Semiconductor Materials Forum, Sustainable Manufacturing

F o r u m , A d v a n c e d P a c k a g i n g Technology Symposium, TechXPOT, MEMS Forum, High-Tech Facility International Forum, eMDC Forum, Advanced Technologies in Accelerating Digital Era and IoT Forum, Memory Technology Forum, IC Design Summit, and more.

Semicon Taiwan also features: Supplier Search Program and Buyers Briefing. As always, the event features a Leadership Gala dinner, an elite networking event and one of the most important annual executive gatherings for the high-tech industry in Taiwan.

SEMI Taiwan hos t s Semicon Taiwan with TAITRA and TSIA as co-organizers. The event is advised by the Taiwan Ministry of Economic Affairs.

A m o n g t h e m a n y e x h i b i t i o n technology pavilions, Semicon Taiwan will host:

■ Smart Manufacturing Pavilion■ Materials Pavilion■ Precision Machinery Pavilion■ CMP Pavilion■ Secondary Market Pavilion■ AOI (Automated Optical

Inspection) Pavilion■ Taiwan Localization Pavilion■ High-Tech Facility PavilionCountry pavilions:■ Belgium Pavilion■ Holland High Tech Pavilion■ German Pavilion■ Technounity Zelenograd

Innovation Cluster■ Cross-Strait Pavilion■ Kyushu (Japan) Pavilion■ Korea Pavilion■ SICA (Shanghai Integrated

Circuit Association) Pavilion

www.digitimes.comWEDNESDAY September 2, 2015DIGITIMES Inc. Tel: +886 2 8712-8866 Fax: +886 2 8712-3366 Advertising: [email protected]

SEMICON Taiwan is entering its 20th anniversary. The scale of the event will be bigger than ever with over 700 exhibitors and is expected to attract more than 40,000 visitors.

Inspiring the next big idea-SEMICON Taiwan kick off

Staff, DIGITIMES, Taipei

In this era of smart devices, everything needs to be “smart”, and cars are not an exception. With smart features, cars become safer, conserve more energy, are more convenient, and more comfortable. Even self-driving cars are beginning to show up.

At CES 2015, first-tier automakers from Europe and the United States exhibited futuristic automotive display platforms, 4G connected devices, heads-up displays (HUD), voice/gesture recognition human-machine interfaces, and radar/image based advanced driver-assistance systems (ADAS). Mercedes-Benz and Audi also displayed self-driving concept cars.

Cars have evolved from simple transport tools into today’s vehicles with a vast range of digital features. These smart features are sometimes practical and other times cool, but they are always the result of many vendors focusing significant amounts of resources on system/platform design, development and integration of system ICs, as well as semiconductor process technologies to overcome many challenges and obstacles. Their achievements have become a strong driving force for the automotive semiconductor market.

The Internet of Vehicles (IoV) further enhances the role of electronics and digital technologies in cars

According to the ITRI’s Industrial Economics & Knowledge Center ( IEK ) , au tomo t ive e l ec t ron i c s accounted for an average of 20%-25% of the total cost of cars made by global brand-name car companies in 2013. In 2015, this percentage will increase to 50%. IEK forecasts that in 2015, the global automotive semiconductor market will reach US$30 billion, with

annual growth of 10%. In particular, the growth of semiconductors required for IoV Advanced Driver Assistance Systems (ADAS) will reach as high as 108%.

The Internet of Vehicles (IoV) uses advanced sensor, communications, networking, digi ta l processing, automatic control, and information broadcasting technologies to establish an ove ra l l t r a ff i c management platform, thereby achieving smart coordination between people, cars, the road, and the environment. The IoV will also help reduce traffic congestion, increase average car speeds, reduce fuel consumption, reduce emissions, and reduce the number of traffic accidents. The establishment of the IoV is also one of the prerequisites for the realization of self-driving cars. The enormous market opportunity for ADAS has driven many large-scale

semiconductor vendors to actively engage in this sector.

According to an analysis of the automotive semiconductor market by IHS, the reason for the significant

rise in demand for semiconductor componen t s i n t he au tomot ive market is due to increasingly strict regulations in countries all over the world in terms of vehicle emissions.

This has also resulted in an increase in the demand for advanced sensors. In addition, electric vehicles as well as fuel-electric hybrid vehicles have become increasingly popular in recent years. Compared to the amount of semiconductor components required for just the vehicle transmission system in the past, demand for MCUs, ASSPs, ASICs, analog and power transistors, communications ICs, analog and mixed-signal processing, sensors, as well as other semiconductors in these new technologies will be more than 10 times higher.

Furthermore, due to cars having to process increasingly large amounts of data , the amount of memory capacity required by cars will increase dramatically in the future. The DRAM memory widely used in today’s MCUs will no longer be enough to support future software, multimedia, or smart car applications. There may even be the need for dedicated DRAM, flash memory, and other storage components. This will further increase demand for automotive semiconductors.

Evolving from 3C (Computer, Communications, and Consumer Electronics) to 4C (Computer, Communications, Consumer Electronics, and Car)

The future potential of smart cars continues to rise, making smart cars an important battle field for chip vendors. Many semiconductor vendors have been actively developing related markets for a long time. Judging by the showcases at CES and MWC in 2015, it is clear that semiconductor vendors including Broadcom, Qualcomm, F ree sca l e , ARM, Imag ina t i on , N v i d i a , Te x a s I n s t r u m e n t s , STMicroelectronics, NXP, and Atmel are focusing a lot of resources on the automotive semiconductor market.

Texas Instruments (TI) has been developing its automotive market for a long time, making it capable of understanding the design requirements of systems vendors, which is why its processors have been chosen by Ford for use in its next-generation Sync 3 IVI (In-Vehicle Infotainment System), which del ivers audio/video entertainment and information communications in its cars.

Similarly, several automakers have also integrated Nvidia’s Tegra processor into their highly advanced IVI systems, allowing them to provide realistic 3D maps and terrain images, a smooth and responsive user interface, as well as function-rich audio/video systems. Nvidia’s Tegra processor is already being used in Audi’s navigation system as well as the infotainment system of Tesla Motors’ Model S.

With foundations for the design and development of smart car software and hardware becoming increasingly mature, automotive display platforms wi th advanced human-machine interfaces for IVI systems has become another marke t in which many semiconductor vendors are competing fiercely. For example, in order to solve the issue of touch screens being restricted in terms of design and usage within the space of a vehicle, there are already automakers using HUD solutions based on TI DLP projection technologies. Going forward, these systems will further integrate virtual reali ty (VR), augmented reali ty (AR), and location-based services (LBS) technologies, allowing the vehicle to even more effectively connect to intelligent transportation systems (ITS). Many automakers are even using high-performance multi-core processors and DLP projection technologies to develop digi ta l dashboards, with the possibility of prototypes being available by 2016.

NEWS Wednesday September 2, 20152

Continued on page 3...

Mercedes-Benz has already demonstrated its self-driving concept car

TI processors used in Ford’s next-generation Sync 3 IVI

Cars are getting smarter and smarter, creating new opportunities for the automotive semiconductor industry

Market insights

Digitimes Research Tracker services are annual subscription services that focus on shipment analysis and forecasts in key mobile device industries, namely:

About DIGITIMES ResearchDIGITIMES Research is the research arm of DIGITIMES Inc., Taiwan's leading high-tech media outlet. Operating as an independent business unit, DIGITIMES Research has for years maintained a close relationship with a broad scope of industry professionals, from engineers, sales, procurement managers, to executives in the Greater China region as well as the global brands, distributors, IC design houses and IP firms that do business in Greater China.

Delivered on a frequent basis, articles include blog posts and research notes that help subscribers understand the trends that shape the industry.

Annual tracker servicesDelivered on a quarterly basis, the tracker services focus on shipments of products for the most recent quarters, as well as providing a forecast for the upcoming quarter, so customers understand where the market is heading.

White-box tablet players turn to Windows 2-in-1s using Intel Bay Trail in 2Q15

Taiwan on-cell touch panel shipments to see strong growth, yet offer little profit

Expanding UAV market to trigger demand for upstream parts, components

Dual-OS tablets becoming popular in white-box market, but Google GMS remains an obstacle

More China-based smartphone vendors gearing up efforts to promote overseas sales

Stay one step ahead of the competition:DIGITIMES Research gives you an edge in the Greater China market

DIGITIMES Research Tracker services

Inquiry: [email protected]: +886-2-87128866Fax: +886-2-87123366

Latest research notes:

CHINA SMARTPHONE AP TRACKER

CHINA SMARTPHONE TRACKER

GLOBAL TABLET TRACKER

TAIWAN MOBILE DEVICE TRACKER

TAIWAN LCD PANEL TRACKER

TAIWAN DISPLAY SYSTEM TRACKER

www.digitimes.com/reports

Press release

The semiconductor industry is a highly demanding market, which is known for complex technologies and dependence on the supply chain. Teesing supplies components, a s s e m b l i e s a n d e n g i n e e r i n g capacity to the largest lithography system builder for years. Teesing is specialized in serving our worldwide customers in a technical, flexible and fast way.

Teesing is specialized in systems for the supply and discharge of cooling water and distribution of UHP gases. Its customers could focus on their core activities. Gases with ultra-high purity (low amount of other gases) are often used in high tech industries like analytical, pharmaceutical, petrochemical, semiconductor, metrology and aerospace industry. Pure gases often

improve yields, consistency or to improve the quality of the end-product. Teesing supplies complete delivery systems for transporting, filtering, monitoring and distributing UHP quality gas and cooling water.

The cooling capacity is increasing together with the production output of OEMs and thereby changes the requirements on the components. These items must be suitable for the media like for instance Ultra Pure Water, (demineralised) water or Glycol. Besides that all parts and assemblies have to meet high cleanliness standards for use in clean rooms to avoid contamination. Te e s i n g o f f e r s s t a n d a r d a n d customized products to meet the high specifications of the end customers. Additionally it also thinks beyond purely technical specifications, such as ease of installation, cost reductions, maintenance, packaging

and logistics. Teesing offers a complete package for your thermal

management and gas distribution, from source to process.

In addition to IVI, another focal point for smart car designs is the Advanced Driver Assistance System (ADAS). Targeting ADAS applications, STMicroelectronics launched i ts new ADAS computing platform in second-quarter 2015 and plans to work with automakers from Europe, the United States, Taiwan, and Mainland China to incorporate it into commercial car models by 2017-2018. In terms of the market potential for smart cars in the Asia Pacific, ST forecasts that Mainland China will have as high as 200 million cars with networking and smart processing capabilities by 2020.

Autonomous self-driving vehicles are producing demand for advanced semiconductors

With smart car features becoming a reality, major car makers are also beginning to engage in the development of self-driving cars, vehicle-to-vehicle (V2V) technologies, as well as vehicle-to-infrastructure (V2I) technologies, with actual road tests continuing to be carried out. It is anticipated that after smart cars, autonomous vehicles will drive the next wave of growth in the demand for automotive semiconductors. For example, Google’s self-driving cars primarily use machine vision and sensor solutions based on high-resolution cameras, radar distance detection sensors, and image recognition technologies to create a safe autonomous driving environment. These technologies will result in demand for the development of advanced semiconductors.

In order to accelerate the development of self-driving cars, Intel has launched a series of hardware and software solutions called Intel In-Vehicle Solutions, and has also driven many investment projects as well as advanced technology research projects. Its goal is first to allow cars to take advantage of even more information and to be equipped with even better support functions, then ultimately to have self-driving capabilities.

Intel In-Vehicle Solutions encompasses a series of hardware and software products, including various types of computing modules, integrated operating systems and middleware stacks, as well as development kits, with the goal of allowing automakers as well as their suppliers to be able to build cars that meet consumer requirements more quickly and more easily, as well as to reduce the cost of developing such products. Intel estimates that using its standardized platform (which uses integrated hardware and software that has passed certification tests) will shorten the amount of time required for developing infotainment products by more than 12 months, and reduce relative costs by as much as 50%. This way, automakers will be able to focus their engineering resources on technological innovation and improving the in-car experience.

Smart cars and self-driving cars are expected to continue to drive the automotive semiconductor market. Global semiconductor vendors are optimistic about this market, and are actively engaging in the area of automotive semiconductors. It is worth noting, however, that compared to the consumer electronics, communications, and information applications of the past, the automotive sector has requirements that are much more stringent in terms of semiconductor components and devices. Semiconductors that enter this market for the first time must first pass ISO 26262 certification as well as strict independent certification by the automaker. They must also be guaranteed to be supplied for at least ten years. The long development cycles and long-term inventory requirements of this industry can pose a significant challenge for semiconductor vendors who have grown accustomed to rapid product-development and time-to-market schedules. Therefore, it remains to be seen which companies will be able to succeed in this new market.

PRODUCT NEWSWednesday September 2, 2015 3...Continued from page 2

Entegris planargard NMB filter

Example of process lines, from industrial grade (below) to UHP grade (above).

Press release

In semiconductor manufacturing, the chemical mechanical polishing (or CMP) step is used to planarize, or create a level topography, between each layer of the interconnect structure as the device is built. The polishing slurries used in CMP are key to getting good results, as the tiny particles contained in the slurries provide the abrasives needed to achieve the final surface results. The trick, though, is to keep the working particles in the slurry unchanged during the polishing process, and at the same time, remove any large slurry part icle agglomerations which cause uneven planarization conditions that are more likely to cause defects in the device layers. Gel-type particles in the slurry can also easily form during the CMP process, causing defects of their own. Finally, in order to achieve the desired results, both particle and gel defectivity causes must be managed

without reducing the slurry flow rate and increasing the pressure drop leave across the filter. Flow rate is a measure of the volume of liquid that passes through the filter in a period of time. Pressure drop is a measure of the pressure required to achieve a given flow rate. Reducing pressure drop can be achieved with innovative media designs and new materials of construction.

CMP filtration media, such as that manufactured by Entegris, has long been used in the CMP process to maintain the desired slurry characteristics. As device geometries shrink, however, so does the abrasive size used in advanced slurries, moving generally towards sub-50 nm particle size, and to single-digit nanometer dimensions for some specific applications. As the size of the abrasive particle decreases, however, the potential for slurry-caused defects increases, and so the CMP filter retention rating has to be lowered to tighter and

tighter pore size, along with the filter design (which often now requires multiple layers of media in order to be most effective). However, these CMP filters for the most advanced polishing slurries will typically result in a decrease in the slurry flow rate when using standard media types.

For advanced polishing slurries, Entegris has developed a Nano Melt Blown (NMB) filtration media with improved media porosity that addresses the problems associated with flow rate reductions during filtration. The risk of large particle agglomeration is also reduced with NMB media, as the “shear” effect is greatly reduced. The high particle holding capacity of the NMB media also extends filter life, reducing the overall cost of ownership in the filtration process. The Entegris product can also be used with tighter retention ratings, and enable filtration in bulk/chemical delivery system applications where a higher particle loading capacity is required.

Entegris’ Nano Melt Blown (NMB) CMP filtration technology further reduces defect rates related to slurry contamination

Holland High Tech Pavilion at SEMICON Taiwan 2015Press release

T h e N e t h e r l a n d s T r a d e & Investment Office (NTIO), the Dutch representation in Taiwan, organizes together with the Dutch High Tech industry for the third time in a row the Holland High Tech Pavilion at the SEMICON 2015 in Taipei.

Since the beginning of i ts rapid development, the Taiwanese semiconduc tor indus t ry has maintained very close ties with the Dutch semiconductor industry through flagship companies like Philips, ASML and NXP and it continues to do so through every element of the value chain.

The Dutch semiconductor industry is mainly located in the Eastern and Western parts of the Netherlands and has its strength in microelectronics; process/technology research, production too l s / equ ipment , e l ec t ron ic c o m p o n e n t s a n d e n d - u s e r applications, and it generates an annual turnover of EUR10 billion.

T h e H o l l a n d H i g h Te c h Pavilion will have a large stand of 99 square meters, which will accommodate nine leading Dutch semiconductor companies and also the national applied research insti tute, TNO. The pavil ion features technologies and products in the field of mass-flow meters and control, high tech engineering, precision manufacturing, advanced surface mount technology (SMT), l a s e r d i r ec t imag ing , ALD, advanced packaging, advance part icle monitoring systems, power protection and world class semiconductor R&D.

From standard grade to UHP grade

Nvidia Tegra processor integrated into in-vehicle infotainment systems

Digitimes Research

A l t h o u g h M a i n l a n d C h i n a ’s economic growth rate has been declining since 2010, its growth is still far higher than the global economic growth rate as well as the economic growth rates of developed nations. This has not only made Mainland China the world’s largest consumer nation, but due to the growth in domestic demand for ICs in Mainland China growing from US$75 billion in 2010 to US$98 billion in 2014 (representing a compound annual growth rate of 6.8% between 2010 and 2014), it has also become that world’s largest consumer market for ICs in the world.

Accord ing to IMF forecas t data from July 2015, Mainland China will still be able to maintain economic growth of 6.8% in 2015, which, although is a decline of 7.4% compared to 2014, is still far better than the global economic growth rate of 3.3% or the developed nation economic growth rate of 2.1%. Digitimes Research estimates that in 2015, Mainland China’s domestic market for ICs will grow to US$106.3 billion, representing 8.5% growth compared to 2014.

As early as during the 9th Five-Year Plan (1995-2000), Mainland China’s government had already d e e m e d t h e I C i n d u s t r y a s a national strategic industry, and directly supported it via financial and tax incent ives as wel l as major technology plans. However, compared to Mainland China’s IC

contract manufacturing industry, its IC design industry started relatively late, which is why the output of Mainland China’s IC design industry only reached US$5.37 billion in 2010, accounting for only 25.3% of the overall output of Mainland China’s IC industry and only 7.2% of Mainland China’s domestic market.

Due to the 01 Program (National M a j o r Te c h n o l o g y P r o g r a m ) providing significant subsidies to the research and development o f app l i ca t i on p roces so r and mobile communications related ICs, Mainland China’s IC design capabilities advanced a great deal in these areas. Some vendors were even able to successfully enter the supply chain for global mobile phone brands. Global smartphone shipments experienced a compound annual growth rate of 43% between 2010 and 2014, allowing Mainland China’s IC design industry to grow significantly. By 2014, the output of Mainland China’s IC design industry already reached US$16.09 billion, representing a compound annual growth rate of 31% between 2010 and 2014.

With Mainland China’s domestic market for ICs continuing to grow as well as government smart card procurement programs providing M a i n l a n d C h i n e s e I C d e s i g n compan ies wi th cons ide rab le s u p p o r t , D i g i t i m e s R e s e a r c h estimates that the output of Mainland China’s IC design industry will reach US$19.49 billion in 2015, represent ing growth of 21.2% compared to 2014.

A l t h o u g h M a i n l a n d C h i n a has already become the world’s largest IC consumer market and the Mainland Chinese IC design industry has also been able to maintain 20% annual growth (resulting in Mainland China’s IC design industry outperforming those of Taiwan and the United States), the overall Mainland Chinese semiconductor industry still has several problems.

Problem 1: Mainland Chinese IC market has a low local content rate

The growth rate of Mainland C h i n a ’s I C d e s i g n i n d u s t r y h a s o u t p e r f o r m e d g r o w t h o f Mainland China’s domestic IC market, resulting in the output of Mainland China’s IC design industry accounting for the 18.3% of Mainland China’s domestic IC market in 2015 (growing from 7.2% in 2010).

However, even i f p roduc t s from Mainland China’s IC design companies were 100% sold to Mainland China’s domestic market, it would only be able to satisfy about 20% of demand. On the one hand, Mainland China’s IC design industry still has considerable room for improvement in terms of output to Mainland China’s out domestic market. On the other hand, Mainland China’s domestic IC market has a relatively low local content rate, which i s a problem Mainland China’s government has been trying to solve since the 11th Five-Year Plan.

Problem 2: Process technologies of foundries fall behind those of major competitors

Although SMIC’s 40 /45nm processes entered mass production as early as in the fourth quarter of 2011, and as of the first quarter of 2015, SMIC’s revenues from its 45/40 nm processes accounted for 16% of its total revenues, its next-generation 28nm process (achieved with the help of Qualcomm) will only be able to contribute to its revenues beginning in the second half of 2015.

In comparison, TSMC began mass production using its 20nm process as early as in the third quarter of 2014, and under strong demand for Apple’s iPhone 6 and iPhone 6 Plus A8 application processors, revenues from 20nm processes accounted for 20% of TSMC’s overall revenue in the second quarter of 2015. In addition, TSMC began mass production using its 16nm FinFET process in the second quarter of 2015 and it expects the segment to contribute significantly to revenues in the third quarter. In short, as of the third quarter of 2015, TSMC’s process technologies lead those of SMIC by 2 generations.

U M C ’s 2 8 n m H K M G a n d PolySiON processes began mass production in the second quarter of 2014. As of the second quarter of 2015, revenues from 28nm processes reached 11% of total revenues. As for next-generation processes, UMC has decided to skip a 20nm process

and go directly to 14nm FinFET process development, which it is hopeful to begin mass production for in the second half of 2016. At that time it will lag behind TSMC in terms of process technologies by one year or more, but will still lead SMIC significantly.

As for Mainland China’s Huali Microelectronics and XMC, which possess 12-inch wafer production capabilities, although they both plan to mass produce using 28nm processes by the end of 2015, in terms of contract manufacturing of logic-based products, 55/40nm processes are still their primary processes, resulting in their process technologies falling far behind those of TSMC and UMC.

I n f a c t , M a i n l a n d C h i n a ’s top three IC design companies (HiSilicon, Spreadtrum, and RDA) a l l have smartphone or table t computer application processor products, which primarily use N-1 generation process technologies. With Mainland Chinese foundries not being able to successfully mass produce using 28nm processes, most of their orders will go to foundries such as TSMC, or GlobalFoundries. The fa l l ing behind in process technologies by Mainland China’s foundries is a major reason why Mainland China’s domestic IC industry has a low local content rate. (This is a preview of a Digitimes Research Special Report covering Mainland China’s National IC industry investment fund - the Big Fund – that will be available in September 2015.)

Digitimes Research: National IC industry investment fund (the Big Fund) to address issues faced by Mainland China’s IC Industry

Staff, DIGITIMES, Taipei

To respond to demand for longer battery life and slim, lightweight p roduc t des igns , ch ip vendor s and semiconductor foundries are introducing 3D IC processes for the fabrication of future products, lowering IC process linewidth to 10nm, and adopting high-end processes and new materials to keep pushing the limits of Moore’s Law.

The red-hot competition in the electronics sector has given rise to demand for high product performance which has put challenges on battery efficiency. To significantly boost the performance of electronic products, such as smartphones and tablets, vendors have to develop mobile processors with higher efficiency and lower power consumption. The most effective ways currently available to drive IC chip upgrades include introducing advanced processes with higher line density, in addition to new multi-chip package technologies, and optimized fabrication materials that can also notably enhance performance and power efficiency. Accordingly, advancements in IC fabricat ion processes have clearly become the key to electronic product evolution and upgrades, driving IC makers and assembly houses to devote resources to the unceasing search for newer, better fabrication solutions.

Raising production yieldsTo meet the demand for low power

consumption, integrated circuits are produced at lower nm nodes to allow more transistors to be placed in a small chip area and with shortened connection lines for the purpose of cutting power consumption. This is also the reason why chip makers are aggressively pushing process advancements to scale down transistor size and linewidth. As more chip functions can be packed into a unit

area as the node gets smaller, the number of chips produced with the same wafer can increase by many fold, thereby raising not only output yield but also unit production value.

Foundries need to look for new processes and materials as old ones are unable to keep up with the current progress of fabrication toward 10nm technology and 3D IC packaging. With lowering production nodes, the silicon material generally used in semiconductors will inevitably be replaced by new alternatives which can provide the same conductivity and low resistance characteristics at low-digit nanoscale linewidths. The new alternative materials to replace silicon have to deliver the same chip performance while transistor sizes continue to get smaller and smaller, but also maintain enhanced electron and hole mobility.

Assembly technologiesIn t he ca se t ha t f ab r i ca t ion

processes are not able to make progress fast enough to keep up with high-density demand, new assembly technologies such as chip stacking and flip-chip packaging can be used to vertically stack chips to increase the number and range of functions loaded in a single package. With these multi-chip packaging solutions, which can be applied to produce flash memory and RAM, chip efficiency multiplies without having to upgrade fabrication processes.

In terms of semiconductor materials, general silicon materials are commonly used for the epitaxial layer of a

wafer. However, as fabr ica t ion technology advances to 10nm, silicon materials have reached their physical limitations. Continuing to use common silicon materials will not only affect quality and yield but also diminish the benefits of chip miniaturization. Semiconductor foundries are turning to alternative materials with higher stability and efficiency to respond to the requirements of 10nm technology. Germanium and group III-V elements stand out in particular from other new materials that have been experimented with, as they can effectively raise electron mobility through the transistor channel, boost chip performance, and reduce energy consumption, and thus have become the top choices for advanced process materials.

Silicon-germaniumExperimenting with new materials

is a part of process advancement that requires R&D capital devotion especially as materials play a critical ro le on whether semiconductor technology will continue to drive up production returns and make breakthroughs. The semiconductor industry has been actively searching for alternative materials for years with ongoing R&D efforts. World leaders including Intel, TSMC, Samsung, and Globalfoundries are all fully committed to developing advanced processes to scale down chip sizes.

Optimization of epitaxial layer materials is an important step to the improvement of cell transistor channel design in semiconductors. Furthermore, optimized materials can also

b r i n g a b o u t a

multifold increase in power efficiency and chip performance.

Multiple foundries are trying to add silicon-germanium compounds (SiGe) at the transistor source and drain of P-type and N-type epitaxial layers, hoping to enhance the electrical performance of semiconductors and reduce energy consumption through low band gap SiGe. In terms of material characteristics, using germanium at a larger volume

t o m o d u l a t e s t r a i n ( t e n s i l e o r compressive) in the transistor channel can increase electron and hole mobility. Under these conditions, transistors can maintain high operating speeds at lower driving voltages and with less current leakage, thereby improving the overall electrical performance of semiconductor devices.

Group III-V elementsW i t h t h e e v o l u t i o n o f

semiconductor materials playing an increasingly important role in fabrication, the industry has made achievements in the introduction of germanium as a new P-type channel material, and group III-V elements such as GaAs, InAs and InSb as N-type transistor materials. However, the use of GaAs, InAs and InSb will actually require upgrades to fab processes and equipment so most foundries are still at the trial- run stage and expect to introduce these materials in sub-10nm processes for mass production.

S e m i c o n d u c t o r f a b r i c a t i o n encountered a problem with sub-30nm processes. The number of t ransistors in a s ingle chip was growing exponentially, but physical l imita t ions of s i l icon mater ia ls hindered further improvements in chip efficiency and functionality due to power consumption and electrical performance issues. Semiconductor materials needed a revolutionary change so that chip performance could further advance to push the limits of Moore’s Law. As 10nm competition heats up, it is crucial that semiconductor players prepare their production lines with the necessary fabrication equipment. Therefore, foundries are all placing orders for critical production machinery such as ion implanters, which are the most complex and bulkiest instruments on semiconductor and IC production lines, and play an important role driving fab process advancements.

Ion implanters turn atoms and molecules to be doped into charged ions which are then accelerated to acquire energy and implanted into chips. The ions stay at a certain depth in the crystal structure and change the physical properties of the material allowing further fabrication to continue with the materials in the altered state. Ion implanters enable precise control of material concentration and doping distribution curves.

Ion implantation is a key technology to developing new materials for advanced semiconductor fabrication processes

NEWS Wednesday September 2, 20154

Semiconductor fabrication at sub-30nm nodes has encountered a bottleneck with silicon materials reaching their physical limitations

Semiconductor foundries introduce new materials and advanced production equipment to answer to challenges arising from 10nm technology

Entering the 10nm-generation, foundries have to begin preparing production equipment early to respond to market challenges