development of monolithic pixel sensors in silicon on insulator technology

41
Development of monolithic pixel sensors in Silicon On Insulator technology Serena Mattiazzo University of Padova (Italy) D. Bisello, P. Giubilato, D. Pantano INFN & University of Padova (Italy) M. Battaglia, UC Santa Cruz (USA) P. Denes, D. Contarato Lawrence Berkeley National Laboratory, LBNL (USA)

Upload: vilina

Post on 23-Feb-2016

39 views

Category:

Documents


0 download

DESCRIPTION

Development of monolithic pixel sensors in Silicon On Insulator technology. Serena Mattiazzo University of Padova (Italy). D. Bisello , P. Giubilato , D. Pantano INFN & University of Padova (Italy) M. Battaglia , UC Santa Cruz (USA) P. Denes , D. Contarato - PowerPoint PPT Presentation

TRANSCRIPT

Page 1: Development of monolithic pixel sensors in Silicon On Insulator technology

Development of monolithic pixel sensors in Silicon On Insulator technology

Serena MattiazzoUniversity of Padova (Italy)

D. Bisello, P. Giubilato, D. PantanoINFN & University of Padova (Italy)

M. Battaglia,UC Santa Cruz (USA)

P. Denes, D. ContaratoLawrence Berkeley National Laboratory,

LBNL (USA)

Page 2: Development of monolithic pixel sensors in Silicon On Insulator technology

Serena Mattiazzo 2TREDI2013, 19/02/2013

Outline

Review of Monolithic pixel sensors in Silicon On Insulator technology

- The SOIPIX collaboration- SOI pixel detectors from LAPIS

Recent results on the latest chip produced

- Thinning and back-processing- Test on thin detector with soft X-rays

- Test on thin detector with MIPs

Conclusions

Page 3: Development of monolithic pixel sensors in Silicon On Insulator technology

Serena Mattiazzo 3TREDI2013, 19/02/2013

Monolithic Pixel Sensors in SOI technology• In the Silicon On

Insulator (SOI) technology, the

CMOS electronics is implanted on a

thin silicon layer on top of a buried

oxide (BOX)

Bonded SOI wafers provided by SOITEC Co with high resistivity “handle wafer”

LAPIS Semiconductor (former OKI, Japan) provides a commercial 0.20µm Fully Depleted (FD) SOI process

200nm thick BOX

350m thickhigh resistivitysubstrate200nm Alback-contact

40nm thinCMOS layer

• In the SOI technology depleted monolithic pixel sensors can be built by using a high resistivity substrate and providing some vias to interconnect the substrate through the BOX;

• Pixel implants can be created and a reverse bias can be applied; charge is collected by drift.

Page 4: Development of monolithic pixel sensors in Silicon On Insulator technology

Serena Mattiazzo 4TREDI2013, 19/02/2013

SOIPIX collaboration • KEK • JAXA• RIKEN• AIST• Osaka University• Tohoku University• Tsukuba University• Kyoto University

• IHEP/IMECAS (China)

Japan

• INP Krakow• University of

Heidelberg• Louvain-la-Neuve

University• Padova University &

INFN

• University of Hawaii

• Fermi National Accelerator Laboratory

• Lawrence Berkeley National Laboratory

• UC Santa Cruz

Collaboration formed in 2005 by KEK Universities (Prof. Y. Arai representative)

Regular Multi-Project Wafer (MPW) runs twice per year

http://rd.kek.jp/project/soi/

Page 5: Development of monolithic pixel sensors in Silicon On Insulator technology

Serena Mattiazzo 5TREDI2013, 19/02/2013

SOI pixel technology

•Low material budget•High density•Low cost

Monolithic approach

•Low power•High speed•Low SEL sensitivity

SOI technology

•Limited depletion voltage •Limited depletion width

Backgate effect

•CZ(n) 400-cm

Handle wafer resistivity low after CMOS

process

•21 mm × 21 mm mask sizeSmall sensor chip size

Page 6: Development of monolithic pixel sensors in Silicon On Insulator technology

Serena Mattiazzo 6TREDI2013, 19/02/2013

Backgate effect The high field in the depleted substrate causes back-gating of the CMOS electronics on top of the BOX: the reverse bias, Vback, applied to the silicon substrate increases the potential at the surface, so that the buried oxide acts as a second gate for the CMOS circuitry on top • Test of single transistors vs.

depletion voltage: shift in the threshold voltage with increasing substrate voltage

Buried P-Well (BPW) Implantation

BPWPixel Periphe

ral

• low dose implantation through the BOX and through the electronics

• Suppress the back gate effect.• Less electric field in the BOX which may

improve radiation hardness.

BOX

BOX

Page 7: Development of monolithic pixel sensors in Silicon On Insulator technology

Serena Mattiazzo 7TREDI2013, 19/02/2013

High Resistivity Substrates

[Y.Arai’s talk at PIXEL2012 Workshop]

CZ(n) - 700 -cm

- 260 µm

FZ(n)- 7 k-cm- 500 µm

FZ(p)- 25 k-cm

- 500 µm

Page 8: Development of monolithic pixel sensors in Silicon On Insulator technology

Serena Mattiazzo 8TREDI2013, 19/02/2013

Larger masks and stitching

31 mm

24.8 mm

• Previously 20.8mm × 20.8mm

• Easier to make larger sensors and to reduce the cost per area

Larger reticule

size

• Special run for RIKEN in 2012Stitching

Page 9: Development of monolithic pixel sensors in Silicon On Insulator technology

Serena Mattiazzo 9TREDI2013, 19/02/2013

SOI pixel technology: recent progresses

•Buried P-Well proposed by KEK and now routinely usedLimited

Backgate effect

•FZ(n) > 3 k-cmHigher Handle wafer

resistivity

•30 mm × 66 mm Larger sensors

Page 10: Development of monolithic pixel sensors in Silicon On Insulator technology

Serena Mattiazzo 10TREDI2013, 19/02/2013

Applications

Synchrotron lightXFELX-ray astronomyMedical imaging…

X-ray photo

ns

Belle IIILCCLICElectron microscopy…

Ionizing

particles

Page 11: Development of monolithic pixel sensors in Silicon On Insulator technology

Serena Mattiazzo 11TREDI2013, 19/02/2013

A brief history of SOI pixel prototypes Within an international collaboration between the

Lawrence Berkeley Laboratory, UC Santa Cruz, the University of Padova and the INFN of Padova, we are developing depleted monolithic pixel sensors in Silicon On Insulator technology

LDRD-SOI series: technology demonstration with high momentum particles on analog and digital pixels. Limited reverse bias applied (back-gating effect)

SOImager series:optimization of pixel layout, test of different substrates

NIM A 583 (2007) 526 NIM A 604 (2009) 380 JINST 4 P04007 (2009)

Page 12: Development of monolithic pixel sensors in Silicon On Insulator technology

Serena Mattiazzo 12TREDI2013, 19/02/2013

2009-2012: SOI-Imager series

Row Selection

Source Follower

Reset Transistor

Optimization of the pixel layout, more effective solution against

back-gating, larger area

Pixel cell layoutSOImager-2

LAPIS 0.20 µm FD-SOI process 55 mm2 (active area is 3.5

3.5mm2) 256256 analog 3T pixels, 13.75 µm pitch, 1.8 V operational voltage

4 parallel analog outputs (64256 pixels each) read out up to 25 MHz, 655 µs integration time (serial readout architecture)

Page 13: Development of monolithic pixel sensors in Silicon On Insulator technology

Serena Mattiazzo 13TREDI2013, 19/02/2013

SOImager-2: pixel layout study

Pixel layouts for the 8 sectors

SOImager-2

Buried P-Well (BPW)

BPW

Pixel Peripheral

NIM A 650 (2011) 184 NIM A 654 (2011) 258 NIMA 658 (2011) 125

Guard-rings

Page 14: Development of monolithic pixel sensors in Silicon On Insulator technology

Serena Mattiazzo 14TREDI2013, 19/02/2013

Photon detection

9um

< 10m

Cross section view of an SOI device• In our technology the total

thickness of the top passivation, metalizations and the CMOS and the buried oxide layers is 9m

• This is a “dead region”: all photons converting here are lost!

Absorption length () in Silicon

• In order to avoid photon absorption in non-sensitive regions of the device in the soft-X-rays and UV energy range, back-illumination is required

• But this requires a fully depleted device!

x

eNxN

0

Page 15: Development of monolithic pixel sensors in Silicon On Insulator technology

Serena Mattiazzo 15TREDI2013, 19/02/2013

Thinning and back-processing Breakdown at 130V (no full depletion) and need to minimize material budget for precision vertex tracking

A set of sensors has been back-thinned to 70m using a commercial grinding technique

The backplane is damaged after the thinning process

Need good contact to extend electric field to detector back-plane

Low energy Phosphor implantation followed by annealing at 500°C (windows thickness of 100-200nm)

Page 16: Development of monolithic pixel sensors in Silicon On Insulator technology

Serena Mattiazzo 16TREDI2013, 19/02/2013

X-rays characterization at the ALS

Vacuum test chamber

DAQReference spectrometer Detector

backplaneSample holder

with thin metal foils

• Quantum efficiency for X-rays on the SOImager-2 studied on data collected at the 5.3.1 beamline of the Advanced Light Source at LBNL

• In-vacuum test capabilities, reference spectrometer and translation stages for sample and sensor positioning

• Thin, back-processed SOI-Imager-2 sensor tested with fluorescence X-rays from metal foils in the energy range 2.1 keV< E < 8.6 keVEleme

ntE

(keV)

(m)Au 2.12 1.7

Ag 2.98 4.1

Ti 4.50 13

Fe 6.40 37

Ni 7.47 56

Cu 8.08 70

Zn 8.60 86

x

eNxN

0

Page 17: Development of monolithic pixel sensors in Silicon On Insulator technology

Serena Mattiazzo 17TREDI2013, 19/02/2013

Results• Quantum efficiency (continuous

black line) measured by comparing hit rates on SOI sensor with reference spectrometer accounting for absorption in Si (dotted line) and transmission through thin entrance window (dashed line).

• Sensor operated in full depletion and over-depletion

• Good pulse height linearity as a function of X-ray energy

Calibration and linearity

Quantum efficiency

NIM A 674 (2012) 51

Page 18: Development of monolithic pixel sensors in Silicon On Insulator technology

Serena Mattiazzo 18TREDI2013, 19/02/2013

Test on the thin SOImager-2

300 GeV - at CERN SPS

•Detectors arranged in one cemented “doublet” (2 thick detectors, 9 mm spaced) and one “singlet” (1 thin detector, 33 mm spaced).

•The doublet is optically aligned with a better than 50 µm precision easy and precise coincidence cuts in cluster recognition.

•Temperature is maintained around 20° C by cool air flow and continuously monitored.

beam

Page 19: Development of monolithic pixel sensors in Silicon On Insulator technology

Serena Mattiazzo 19TREDI2013, 19/02/2013

MIP detection: thick vs thin sensor

SOI sensor Vsub (V) Cluster <S/N>

Efficiency point (m)

Thin 30507090

25.028.228.831.2

0.90 ± 0.040.94 ± 0.030.96 ± 0.030.98 ± 0.02

3.1 ± 0.801.7 ± 0.501.8 ± 0.601.9 ± 0.70

Thick 305070

23.347.452.7

0.89 ± 0.03 1.36 ± 0.041.12 ± 0.031.07 ± 0.05

0.020.040.98

0.010.050.99

300GeV -

70m SOImager-2Vsub = 50V

300GeV -

260m SOImager-2Vsub = 50V

MPV: (191 ± 2) ADC cnt MPV: (314 ± 3) ADC cnt

• Ratio of Pulse height: 0.61 ± 0.01

• Ratio of estimated sensitive thicknesses: 0.62 ± 0.05Perfect agreement

Over depletion

• NIM A 676 (2012) 50• NIM A 681 (2012) 61

Page 20: Development of monolithic pixel sensors in Silicon On Insulator technology

Serena Mattiazzo 20TREDI2013, 19/02/2013

Summary & OutlookThe SOIPIX collaboration is very active in the

development of monolithic pixels in SOI technology; the process is improving and the

collaboration is growing in size

LBNL, Padova and UC Santa Cruz are involved in SOI monolithic pixels R&D in

LAPIS deep-submicron FD-SOI technology since about 2007 (with more than 7

prototypes).

We have developed thin sensors with very good performance both for X-ray imaging

and for MIP tracking

Page 21: Development of monolithic pixel sensors in Silicon On Insulator technology

Serena Mattiazzo 21TREDI2013, 19/02/2013

Backup Slides

Page 22: Development of monolithic pixel sensors in Silicon On Insulator technology

Serena Mattiazzo 22TREDI2013, 19/02/2013

New test chips on High Resistivity substrates

Vsub

RST-n

RST-p

VRST VDD

SF-n

SF-p

RS

RS

OUT-n

OUT-p

• VRST = 0 for n-type substrate• VRST = VDD for p-type substrate• 0.20 µm LAPIS process, Oct 2011 submission

• 512 × 320 analog pixels, 13.75 µm pitch

• Complementary architecture for both p-type and n-type substrates

• Devices on CZ substrate (HR1, n-type) and FZ-p arrived in June (evaluation ongoing). HR3 (n-type) and FZ-n to arrive soon!

Page 23: Development of monolithic pixel sensors in Silicon On Insulator technology

Serena Mattiazzo 23TREDI2013, 19/02/2013

Energy resolution

Vd = 70V Vd = 70V

Fitted Gaussian width

(0.70 ± 0.03) keV

Fitted Gaussian width

(0.99 ± 0.02) keV

Page 24: Development of monolithic pixel sensors in Silicon On Insulator technology

Serena Mattiazzo 24TREDI2013, 19/02/2013

Pixel multiplicity

Pixel multiplicity in signal clusters as a function of Vdep for 980nm laser pulses (filled squares) and 5.9keV X-rays (open triangles).

• Cluster size decreases with Vdep as expected • Signal is distributed among multiple pixels also for large voltages:

capacitive coupling?

Page 25: Development of monolithic pixel sensors in Silicon On Insulator technology

Serena Mattiazzo 25TREDI2013, 19/02/2013

Response to inclined tracks

Average pixel multiplicity along rows and columns for clusters associated to a pion track as a function of the detector angle for Vd = V.

Page 26: Development of monolithic pixel sensors in Silicon On Insulator technology

Serena Mattiazzo 26TREDI2013, 19/02/2013

Charge sharing

distribution at different values of Vd for (left) 980nm laser pulses and (right) 200GeV .• Small variation of the distribution with Vd using the980nm laser and

no significant variation with energetic pions. • Charge sharing among neighboring pixels is not dominated by the

charge carrier cloud size: instead, the pixel capacitive coupling plays a significant role in determining the observed signal distribution

Page 27: Development of monolithic pixel sensors in Silicon On Insulator technology

Serena Mattiazzo 27TREDI2013, 19/02/2013

Depletion thickness

Page 28: Development of monolithic pixel sensors in Silicon On Insulator technology

Serena Mattiazzo 28TREDI2013, 19/02/2013

Radiation damage studies on the 0.20 µm process

• X-ray irradiation (10 keV L-line photons.) on single transistors 0.20 µm FD process). Irradiations in air at room temperature. Dose rate: 165 rad(SiO2)/sec.

• NMOS and PMOS transistors, each surrounded by 1µm PSUB guard ring

• NMOS and PMOS Body of Body-Tie transistors at 0V. Drain and source at 0V, gate NMOS HIGH (1.8V), gate PMOS LOW (0V).

• Vback = 0V, 5V, 10V with PSUB guard-ring floating; Vback = 10V with PSUB guard-ring at 0V

The PSUB guard-ring tied at GND during irradiation indeed limits the electrical field through the BOX

and improves the radiation hardness of the device.

For Vback = 0V the transistor is still working properly up to doses of

~100krad.

Page 29: Development of monolithic pixel sensors in Silicon On Insulator technology

Serena Mattiazzo 29TREDI2013, 19/02/2013

Double-SOI

SEM View of Double SOI (Thickness of Si & SiO2 layer not yet optimized

Y. Arai talk at PIXEL2012(September 2012, Inawashiro)

• Shield transistor from bottom electric field

• Compensate electric field generated by the trapped hole in the BOX

• Reduce crosstalk between sensors and circuits

Page 30: Development of monolithic pixel sensors in Silicon On Insulator technology

Serena Mattiazzo 30TREDI2013, 19/02/2013

LAPIS Semiconductor 0.2um FD-SOI Pixel Process

Page 31: Development of monolithic pixel sensors in Silicon On Insulator technology

Serena Mattiazzo 31TREDI2013, 19/02/2013

Larger mask

31 mm

24.8 mm

New Mask

20.8 mm ×

20.8 mm

Unit size 5 mm 6 mm

Previous Mask

Y. Arai talk at PIXEL2012(September 2012, Inawashiro)

Page 32: Development of monolithic pixel sensors in Silicon On Insulator technology

Serena Mattiazzo 32TREDI2013, 19/02/2013

Stitching

66 mm × 30 mm achieved 130mm × 130mm is possible

Y. Arai talk at PIXEL2012(September 2012, Inawashiro)

Page 33: Development of monolithic pixel sensors in Silicon On Insulator technology

Serena Mattiazzo 33TREDI2013, 19/02/2013

R&D on back-processing• Need 100 Å window

thickness for an efficient O(100eV) X-ray sensitivity in back-illumination

• Several processes under test at LBNL Process Window

ThicknessStatus

Low energy implantation + 500°C

annealing

1000-2000 Å Process dependent, several SOI prototypes functional

Low energy implantation + laser

annealing

400-700 Å Several SOI prototypes functional

a-Si (amorphous silicon) contact deposition by

sputtering

300Å Prototypes functional after processing, high leakage

Molecular Beam Epitaxy

50-75 Å Building in-house capability

Page 34: Development of monolithic pixel sensors in Silicon On Insulator technology

Serena Mattiazzo 34TREDI2013, 19/02/2013

Back-processing: LBNL low-temperature process

Distance from back-plane (µm)

SRA data for the implanted contact on a post-processed chip

Expect detection threshold of 1.5 keV for 0.4 µm thick contact

Low temperature process developed at LBNL: phosphorus implant at 33keV using a cold process at -160°C to create amorphous layer, followed by annealing @ 500°C (10 minutes in Nitrogen atmosphere), compatible with CMOS devices; simple process and equipment needed

Very promising results from tests on PIN diodes: good yield and low leakage current

Process applied to 70 µm thin SOImager-2 chips, which are fully functional after processing

Spreading Resistance Analysis (SRA) measurements show P contact extending to 0.3 – 0.4 μm depth

[from Craig Tindall, LBNL]

Page 35: Development of monolithic pixel sensors in Silicon On Insulator technology

Serena Mattiazzo 35TREDI2013, 19/02/2013

MBE • Molecular Beam Epitaxy (MBE) system being acquired, expected to be operational by mid-2013

• Conductive implants few atomic layers thin created by evaporation in ultra-high vacuum (“delta doping” approach first demonstrated by NASA/JPL); final contact thickness ~ 10nm

• Low thermal budget (< 450°C) technique, applicable to full-processed devices

Page 36: Development of monolithic pixel sensors in Silicon On Insulator technology

Serena Mattiazzo 36TREDI2013, 19/02/2013

HEP requirement for radiation hardness

Machine Luminosity[cm-2 s-1]

Non Ionizing Fluence

[neq cm-2 yr-1]

Ionizing Fluence

[krad yr-1]

LHC 1034 1.4 × 1014 11300

HL-LHC 1035 1.4 × 1015 71400

CLIC 1034 1.0 × 1011 50

Super B > 1036 3.5 × 1012 3000

Page 37: Development of monolithic pixel sensors in Silicon On Insulator technology

Serena Mattiazzo 37TREDI2013, 19/02/2013

Lorentz angle

B

• In the presence of an electric field (E) and a magnetic field (B), the charge carriers released by a charged particles in the detector drift along a direction at an angle L (Lorentz angle) with respect to the electric field direction

• The charge usually spreads over several pixels, depending on the angle of the incident particle

• The spread is minimum for an incident angle equal to the Lorentz angle

• Knowledge of this angle is needed to optimize the spatial resolution by tuning the angular orientation of the detectors

Page 38: Development of monolithic pixel sensors in Silicon On Insulator technology

Serena Mattiazzo 38TREDI2013, 19/02/2013

Lorentz angle measurement

B = 0 T B = 1.5 T

Vsub = 70V

0.14 ± 0.14

1.71 ± 0.11

Measurement on a thick detector at Vsub

= 70V

Measurement repeated at the end of

July on a thin detector, but data

analysis still ongoing

B = 1.5TB = 0 T

Page 39: Development of monolithic pixel sensors in Silicon On Insulator technology

Serena Mattiazzo 39TREDI2013, 19/02/2013

Single Event Upset tests• We tested the technology for SEU sensitivity.• The periphery shift register for row-selection has been used to check for bit-flip through a dedicated test pad.• Mind: the design is not hardened in any special way against SEU!

256 flip flops, 13.75 µm pitch

FLIP-FLOP layout

Page 40: Development of monolithic pixel sensors in Silicon On Insulator technology

Serena Mattiazzo 40TREDI2013, 19/02/2013

SEU cross section

Ionspeci

es

Energy

(MeV)

LET0 in Si (MeV·cm2/

mg)19F 118 3.67

35Cl 170 12.579Br 240 38.6

• LETthr 4 MeV·cm2/mg

• sat 10-6 cm2 No apparent difference with

or without bias

• A Single Event Upset (SEU) study was performed at the SIRAD irradiation facility, located at the 15MV Tandem XTU-Accelerator of the INFN Legnaro National Laboratory.

• A known logical pattern is written in and read back from the row selection shift register through dedicated pads during irradiation. Differences between the loaded and read-back pattern highlight a SEU occurred in the cells

• Irradiation performed with three different ion species and, for each ion beam, for two substrate bias conditions (Vback = 0V - 7V).

Vback = 7 V

Vback = 0 V

Page 41: Development of monolithic pixel sensors in Silicon On Insulator technology

Serena Mattiazzo 41TREDI2013, 19/02/2013

Other applications: FemtoPix