design and implementation of usart using vhdl

18
DESIGN AND IMPLEMENTATION OF USART USING VHDL

Upload: wineyarddee

Post on 21-Apr-2015

251 views

Category:

Documents


9 download

TRANSCRIPT

Page 1: Design and Implementation of USART Using VHDL

DESIGN AND IMPLEMENTATION OF USART USING VHDL

Page 2: Design and Implementation of USART Using VHDL

USART FUNCTION •U

niversal Synchronous Asynchronous Receiver Transmitter

•u

sed to send and receive small packets over a serial line– full or half duplex

• typically asynchronously• 5 – 9 bits of data• 2 or 3 framing bits

– start bit– 1 or 2 stop bits

• 0 or 1 parity bits

Page 3: Design and Implementation of USART Using VHDL

MAIN FUNCTIONS

Universal Synchronous Asynchronous Receiver

Transmitter:

•can be synchronous or asynchronous

•can receive and transmit

•Full duplex asynchronous operation

Most common use:

•RS-232 communications to a PC serial port

Page 4: Design and Implementation of USART Using VHDL

DATA FORMAT

M

ust be agreed on by sender and receiver before any exchanges can be

made

s

top bit (1 to 0 transition)

5

– 9 data bits

0

or 1 parity bits (odd or even parity)

1

or 2 stop bits (logic 0)

Page 5: Design and Implementation of USART Using VHDL
Page 6: Design and Implementation of USART Using VHDL
Page 7: Design and Implementation of USART Using VHDL

SCHEMATIC OF TRANMITTER

Page 8: Design and Implementation of USART Using VHDL

SENDING DATAR

emember synchronization is on a character by character basis

c

heck status

l

oad data register

s

tart transmit

w

ait for transmission complete status or for interrupt

r

epeat

Page 9: Design and Implementation of USART Using VHDL

RECEIVING DATA

p

oll status register for data ready or wait for interrupt

r

ead data (save it)

r

epeat

Page 10: Design and Implementation of USART Using VHDL
Page 11: Design and Implementation of USART Using VHDL

SCHEMATIC OF RECEIVER

Page 12: Design and Implementation of USART Using VHDL

PROGRAMMING MODEL

D

ata input register

D

ata output register

C

ontrol register• speed, data bits, parity, stop bits, start, stop

Page 13: Design and Implementation of USART Using VHDL

BAUD RATE GENERATOR

Page 14: Design and Implementation of USART Using VHDL

BAUD RATE GENERATOR

T

his module is designed to generate a square clock

irrespective of the divisor value .

I

n synchronous mode of communication ,this clock is

transmitted along with the data.

Page 15: Design and Implementation of USART Using VHDL

SIMULATION RESULTSTRANSMITTER

Page 16: Design and Implementation of USART Using VHDL

RECEIVER

Receiver

Page 17: Design and Implementation of USART Using VHDL

CONCLUSION

T

his design uses VHDL as design language to achieve the

modules of UART.

T

he results are stable and relaible.

T

he design has great flexibility,high integration with some

reference value.

Page 18: Design and Implementation of USART Using VHDL

FUTURE SCOPE

W

e can implement this project for the designing of

USARTS for system on chip devices.