cpe 626 advanced vlsi design lecture 8: power and designing for low power aleksandar milenkovic...

44
CPE 626 Advanced VLSI Design Lecture 8: Power and Designing for Low Power Aleksandar Milenkovic http://www.ece.uah.edu/~milenka http://www.ece.uah.edu/~milenka/cpe626-04F/ [email protected] Assistant Professor Electrical and Computer Engineering Dept. University of Alabama in Huntsville

Upload: hope-oneal

Post on 28-Jan-2016

224 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: CPE 626 Advanced VLSI Design Lecture 8: Power and Designing for Low Power Aleksandar Milenkovic milenka milenka/cpe626-04F

CPE 626 Advanced VLSI DesignLecture 8: Power and

Designing for Low Power

Aleksandar Milenkovic

http://www.ece.uah.edu/~milenkahttp://www.ece.uah.edu/~milenka/cpe626-04F/

[email protected]

Assistant ProfessorElectrical and Computer Engineering Dept.

University of Alabama in Huntsville

Page 2: CPE 626 Advanced VLSI Design Lecture 8: Power and Designing for Low Power Aleksandar Milenkovic milenka milenka/cpe626-04F

A. Milenkovic 2

Advanced VLSI Design

Why Power Matters

Packaging costs

Power supply rail design

Chip and system cooling costs

Noise immunity and system reliability

Battery life (in portable systems)

Environmental concernsOffice equipment accounted for 5% of total US commercial energy usage in 1993

Energy Star compliant systems

Page 3: CPE 626 Advanced VLSI Design Lecture 8: Power and Designing for Low Power Aleksandar Milenkovic milenka milenka/cpe626-04F

A. Milenkovic 3

Advanced VLSI DesignWhy worry about power? – Power Dissipation

P6Pentium ®

486

3862868086

80858080

80084004

0.1

1

10

100

1971 1974 1978 1985 1992 2000Year

Po

wer

(W

atts

)

Lead microprocessors power continues to increaseLead microprocessors power continues to increase

Power delivery and dissipation will be prohibitivePower delivery and dissipation will be prohibitiveSource: Borkar, De Intel

Page 4: CPE 626 Advanced VLSI Design Lecture 8: Power and Designing for Low Power Aleksandar Milenkovic milenka milenka/cpe626-04F

A. Milenkovic 4

Advanced VLSI Design

Problem Illustration

Page 5: CPE 626 Advanced VLSI Design Lecture 8: Power and Designing for Low Power Aleksandar Milenkovic milenka milenka/cpe626-04F

A. Milenkovic 5

Advanced VLSI DesignWhy worry about power ? – Battery Size/Weight

Expected battery lifetime increase over the next 5 years: 30 to 40%

From Rabaey, 1995From Rabaey, 1995

65 70 75 80 85 90 95

0

10

20

30

40

50

Rechargable Lithium

Year

Nickel-Cadmium

Ni-Metal Hydride

Nom

inal

Cap

acity

(W

-hr/

lb)

Battery(40+ lbs)

Page 6: CPE 626 Advanced VLSI Design Lecture 8: Power and Designing for Low Power Aleksandar Milenkovic milenka milenka/cpe626-04F

A. Milenkovic 6

Advanced VLSI DesignWhy worry about power? – Standby Power

Drain leakage will increase as VT decreases to maintain noise margins and meet frequency demands, leading to excessive battery draining standby power consumption.

•8KW

•1.7KW

•400W

• 88W •12W

•0%

•10%

•20%

•30%

•40%

•50%

•2000 •2002 •2004 •2006 •2008

• S

tan

db

y P

ow

er

Source: Borkar, De Intel

Year 2002 2005 2008 2011 2014

Power supply Vdd (V) 1.5 1.2 0.9 0.7 0.6

Threshold VT (V) 0.4 0.4 0.35 0.3 0.25

…and phones leaky!

Page 7: CPE 626 Advanced VLSI Design Lecture 8: Power and Designing for Low Power Aleksandar Milenkovic milenka milenka/cpe626-04F

A. Milenkovic 7

Advanced VLSI Design

Power and Energy Figures of Merit

Power consumption in Wattsdetermines battery life in hours

Peak powerdetermines power ground wiring designs

sets packaging limits

impacts signal noise margin and reliability analysis

Energy efficiency in Joulesrate at which power is consumed over time

Energy = power * delayJoules = Watts * seconds

lower energy number means less power to perform a computation at the same frequency

Page 8: CPE 626 Advanced VLSI Design Lecture 8: Power and Designing for Low Power Aleksandar Milenkovic milenka milenka/cpe626-04F

A. Milenkovic 8

Advanced VLSI Design

Power versus Energy

Watts

time

Power is height of curve

Watts

time

Approach 1

Approach 2

Approach 2

Approach 1

Energy is area under curve

Lower power design could simply be slower

Two approaches require the same energy

Page 9: CPE 626 Advanced VLSI Design Lecture 8: Power and Designing for Low Power Aleksandar Milenkovic milenka milenka/cpe626-04F

A. Milenkovic 9

Advanced VLSI Design

PDP and EDPPower-delay product (PDP) = Pav * tp = (CLVDD

2)/2PDP is the average energy consumed per switching event (Watts * sec = Joule)lower power design could simply be a slower design

• allows one to understand tradeoffs better

0

5

10

15

0.5 1 1.5 2 2.5

Vdd (V)

Energ

y-Dela

y (no

rmali

zed)

energy-delay

energy

delay

• Energy-delay product (EDP) = PDP * tp = Pav * tp2

• EDP is the average energy consumed multiplied by the computation time required

• takes into account that one can trade increased delay for lower energy/operation (e.g., via supply voltage scaling that increases delay, but decreases energy consumption)

Page 10: CPE 626 Advanced VLSI Design Lecture 8: Power and Designing for Low Power Aleksandar Milenkovic milenka milenka/cpe626-04F

A. Milenkovic 11

Advanced VLSI Design

Understanding TradeoffsE

nerg

y

1/Delay

a

b

c

d

Lower EDP

Which design is the “best” (fastest, coolest, both) ?

bett

er

better

Page 11: CPE 626 Advanced VLSI Design Lecture 8: Power and Designing for Low Power Aleksandar Milenkovic milenka milenka/cpe626-04F

A. Milenkovic 12

Advanced VLSI Design

CMOS Energy & Power Equations

E = CL VDD2 P01 + tsc VDD Ipeak P01 + VDD Ileakage

P = CL VDD2 f01 + tscVDD Ipeak f01 + VDD Ileakage

Dynamic power

Short-circuit power

Leakage power

f01 = P01 * fclock

Page 12: CPE 626 Advanced VLSI Design Lecture 8: Power and Designing for Low Power Aleksandar Milenkovic milenka milenka/cpe626-04F

A. Milenkovic 13

Advanced VLSI Design

Dynamic Power Consumption

Energy/transition = CL * VDD2 * P01

Pdyn = Energy/transition * f = CL * VDD2 * P01 * f

Pdyn = CEFF * VDD2 * f where CEFF = P01 CL Not a function of transistor sizes!

Data dependent - a function of switching activity!

Vin Vout

CL

Vdd

f01

Page 13: CPE 626 Advanced VLSI Design Lecture 8: Power and Designing for Low Power Aleksandar Milenkovic milenka milenka/cpe626-04F

A. Milenkovic 15

Advanced VLSI Design

Lowering Dynamic Power

Pdyn = CL VDD2 P01 f

Capacitance:Function of fan-out, wire length, transistor sizes

Supply Voltage:Has been dropping with successive generations

Clock frequency:Increasing…

Activity factor:How often, on average, do wires switch?

Page 14: CPE 626 Advanced VLSI Design Lecture 8: Power and Designing for Low Power Aleksandar Milenkovic milenka milenka/cpe626-04F

A. Milenkovic 16

Advanced VLSI Design

Short Circuit Power Consumption

Finite slope of the input signal causes a direct current path between VDD and GND for a short period of time during switching when both the NMOS and PMOS transistors are conducting.

Vin Vout

CL

Isc

Page 15: CPE 626 Advanced VLSI Design Lecture 8: Power and Designing for Low Power Aleksandar Milenkovic milenka milenka/cpe626-04F

A. Milenkovic 17

Advanced VLSI Design

Short Circuit Currents Determinates

Duration and slope of the input signal, tsc

Ipeak determined by the saturation current of the P and N transistors which depend on their sizes, process technology, temperature, etc.strong function of the ratio between input and output slopes

• a function of CL

Esc = tsc VDD Ipeak P01

Psc = tsc VDD Ipeak f01

Page 16: CPE 626 Advanced VLSI Design Lecture 8: Power and Designing for Low Power Aleksandar Milenkovic milenka milenka/cpe626-04F

A. Milenkovic 18

Advanced VLSI Design

Impact of CL on Psc

Vin Vout

CL

Isc 0

Vin Vout

CL

Isc Imax

Large capacitive load

Output fall time significantly larger than input rise time.

Small capacitive load

Output fall time substantially smaller than the input rise time.

Page 17: CPE 626 Advanced VLSI Design Lecture 8: Power and Designing for Low Power Aleksandar Milenkovic milenka milenka/cpe626-04F

A. Milenkovic 19

Advanced VLSI Design

Ipeak as a Function of CL

-0.5

0

0.5

1

1.5

2

2.5

0 2 4 6

I pea

k (A

)

time (sec)

x 10-10

x 10-4

CL = 20 fF

CL = 100 fF

CL = 500 fF

500 psec input slope

Short circuit dissipation is minimized by matching the rise/fall times of the input and output signals - slope engineering.

When load capacitance is small, Ipeak is large.

Page 18: CPE 626 Advanced VLSI Design Lecture 8: Power and Designing for Low Power Aleksandar Milenkovic milenka milenka/cpe626-04F

A. Milenkovic 20

Advanced VLSI Design

Psc as a Function of Rise/Fall Times

0

1

2

3

4

5

6

7

8

0 2 4

P n

orm

aliz

ed

tsin/tsout

VDD= 3.3 V

VDD = 2.5 V

VDD = 1.5V

normalized wrt zero input rise-time dissipation

When load capacitance is small (tsin/tsout > 2 for VDD > 2V) the power is dominated by Psc

If VDD < VTn + |VTp| then Psc is eliminated since both devices are never on at the same time.

W/Lp = 1.125 m/0.25 mW/Ln = 0.375 m/0.25 mCL = 30 fF

Page 19: CPE 626 Advanced VLSI Design Lecture 8: Power and Designing for Low Power Aleksandar Milenkovic milenka milenka/cpe626-04F

A. Milenkovic 21

Advanced VLSI Design

Leakage (Static) Power Consumption

Sub-threshold current is the dominant factor.

All increase exponentially with temperature!

VDD Ileakage

Vout

Drain junction leakage

Sub-threshold currentGate leakage

Page 20: CPE 626 Advanced VLSI Design Lecture 8: Power and Designing for Low Power Aleksandar Milenkovic milenka milenka/cpe626-04F

A. Milenkovic 22

Advanced VLSI Design

Leakage as a Function of VT

0 0.2 0.4 0.6 0.8 1

VGS (V)

ID (A

)

VT=0.4V

VT=0.1V

10-2

10-12

10-7

Continued scaling of supply voltage and the subsequent scaling of threshold voltage will make subthreshold conduction a dominate component of power dissipation.

An 90mV/decade VT roll-off - so each 255mV increase in VT gives 3 orders of magnitude reduction in leakage (but adversely affects performance)

Page 21: CPE 626 Advanced VLSI Design Lecture 8: Power and Designing for Low Power Aleksandar Milenkovic milenka milenka/cpe626-04F

A. Milenkovic 23

Advanced VLSI Design

TSMC Processes Leakage and VT

80

0.25 V

13,000

920/400

0.08 m

24 Å

1.2 V

CL013 HS

52

0.29 V

1,800

860/370

0.11 m

29 Å

1.5 V

CL015 HS

42 Å42 Å42 Å42 ÅTox (effective)

43142230FET Perf. (GHz)

0.40 V0.73 V0.63 V0.42 VVTn

3000.151.6020Ioff (leakage) (A/m)

780/360320/130500/180600/260IDSat (n/p) (A/m)

0.13 m 0.18 m 0.16 m 0.16 m Lgate

2 V1.8 V1.8 V1.8 VVdd

CL018 HS

CL018 ULP

CL018 LP

CL018 G

From MPR, 2000

Page 22: CPE 626 Advanced VLSI Design Lecture 8: Power and Designing for Low Power Aleksandar Milenkovic milenka milenka/cpe626-04F

A. Milenkovic 24

Advanced VLSI Design

Exponential Increase in Leakage Currents

1

10

100

1000

10000

30 40 50 60 70 80 90 100 110

0.25

0.18

0.13

0.1

Temp(C)

I leak

age(n

A/

m)

From De,1999

Page 23: CPE 626 Advanced VLSI Design Lecture 8: Power and Designing for Low Power Aleksandar Milenkovic milenka milenka/cpe626-04F

A. Milenkovic 25

Advanced VLSI Design

Review: Energy & Power EquationsE = CL VDD

2 P01 + tsc VDD Ipeak P01 + VDD Ileakage

P = CL VDD2 f01 + tscVDD Ipeak f01 + VDD Ileakage

Dynamic power(~90% today and

decreasing relatively)

Short-circuit power

(~8% today and decreasing absolutely)

Leakage power(~2% today and

increasing)

f01 = P01 * fclock

Page 24: CPE 626 Advanced VLSI Design Lecture 8: Power and Designing for Low Power Aleksandar Milenkovic milenka milenka/cpe626-04F

A. Milenkovic 26

Advanced VLSI Design

Power and Energy Design SpaceConstant

Throughput/LatencyVariable

Throughput/Latency

Energy Design Time Non-active Modules Run Time

Active

Logic Design

Reduced Vdd

Sizing

Multi-Vdd

Clock Gating

DFS, DVS

(Dynamic Freq, Voltage

Scaling)

Leakage + Multi-VT

Sleep Transistors

Multi-Vdd

Variable VT

+ Variable VT

Page 25: CPE 626 Advanced VLSI Design Lecture 8: Power and Designing for Low Power Aleksandar Milenkovic milenka milenka/cpe626-04F

A. Milenkovic 27

Advanced VLSI DesignDynamic Power as a Function of Device Size

Device sizing affects dynamic energy consumptiongain is largest for networks with large overall effective fan-outs (F = CL/Cg,1)

The optimal gate sizing factor (f) for dynamic energy is smaller than the one for performance, especially for large F’s

e.g., for F=20, fopt(energy) = 3.53 while fopt(performance) = 4.47

If energy is a concern avoid oversizing beyond the optimal

1 2 3 4 5 6 70

0.5

1

1.5

f

norm

aliz

ed e

nerg

y

F=1

F=2

F=5

F=10

F=20

From Nikolic, UCB

Page 26: CPE 626 Advanced VLSI Design Lecture 8: Power and Designing for Low Power Aleksandar Milenkovic milenka milenka/cpe626-04F

A. Milenkovic 28

Advanced VLSI DesignDynamic Power Consumption is Data Dependent

A B Out

0 0 1

0 1 0

1 0 0

1 1 0

2-input NOR Gate

With input signal probabilities PA=1 = 1/2 PB=1 = 1/2

Static transition probability P01 = Pout=0 x Pout=1

= P0 x (1-P0)

Switching activity, P01, has two components

A static component – function of the logic topology

A dynamic component – function of the timing behavior (glitching)

NOR static transition probability = 3/4 x 1/4 = 3/16

Page 27: CPE 626 Advanced VLSI Design Lecture 8: Power and Designing for Low Power Aleksandar Milenkovic milenka milenka/cpe626-04F

A. Milenkovic 29

Advanced VLSI Design

NOR Gate Transition Probabilities

CL

A

B

BA

P01 = P0 x P1 = (1-(1-PA)(1-PB)) (1-PA)(1-PB)

PA

PB

0

1 0 1

Switching activity is a strong function of the input signal statistics

PA and PB are the probabilities that inputs A and B are one

Page 28: CPE 626 Advanced VLSI Design Lecture 8: Power and Designing for Low Power Aleksandar Milenkovic milenka milenka/cpe626-04F

A. Milenkovic 31

Advanced VLSI DesignTransition Probabilities for Some Basic Gates

P01 = Pout=0 x Pout=1

NOR (1 - (1 - PA)(1 - PB)) x (1 - PA)(1 - PB)

OR (1 - PA)(1 - PB) x (1 - (1 - PA)(1 - PB))

NAND PAPB x (1 - PAPB)

AND (1 - PAPB) x PAPB

XOR (1 - (PA + PB- 2PAPB)) x (PA + PB- 2PAPB)

B

AZ

X0.5

0.5

For Z: P01 = P0 x P1 = (1-PXPB) PXPB

For X: P01 = P0 x P1 = (1-PA) PA = 0.5 x 0.5 = 0.25

= (1 – (0.5 x 0.5)) x (0.5 x 0.5) = 3/16

Page 29: CPE 626 Advanced VLSI Design Lecture 8: Power and Designing for Low Power Aleksandar Milenkovic milenka milenka/cpe626-04F

A. Milenkovic 33

Advanced VLSI Design

Inter-signal Correlations

B

A

Z

X

P(Z=1) = P(B=1) & P(A=1 | B=1)

0.5

0.5

(1-0.5)(1-0.5)x(1-(1-0.5)(1-0.5)) = 3/16

P(Z=1) = P(B=1) * P(X=1 | B=1)= 0.5 * 1 = 0.5P(Z=0) = 1 – P(B=1)*P(X=1 | B=1) = 0.5P(0->1) = 0.5*0.5 = 0.25

Reconvergent

Determining switching activity is complicated by the fact that signals exhibit correlation in space and time

reconvergent fan-out

Have to use conditional probabilities

Page 30: CPE 626 Advanced VLSI Design Lecture 8: Power and Designing for Low Power Aleksandar Milenkovic milenka milenka/cpe626-04F

A. Milenkovic 34

Advanced VLSI Design

Logic Restructuring

Chain implementation has a lower overall switching activity than the tree implementation for random inputs

Ignores glitching effects

Logic restructuring: changing the topology of a logic network to reduce transitions

A

BC

D F

AB

CD Z

FW

X

Y0.5

0.5

(1-0.25)*0.25 = 3/16

0.50.5

0.5

0.5

0.5

0.5

7/64

15/256

3/16

3/16

15/256

AND: P01 = P0 x P1 = (1 - PAPB) x PAPB

Page 31: CPE 626 Advanced VLSI Design Lecture 8: Power and Designing for Low Power Aleksandar Milenkovic milenka milenka/cpe626-04F

A. Milenkovic 36

Advanced VLSI Design

Input Ordering

Beneficial to postpone the introduction of signals with a high transition rate (signals with signal probability close to 0.5)

A

BC

X

F

0.5

0.20.1

B

CA

X

F

0.2

0.10.5

(1-0.5x0.2)x(0.5x0.2)=0.09 (1-0.2x0.1)x(0.2x0.1)=0.0196

Page 32: CPE 626 Advanced VLSI Design Lecture 8: Power and Designing for Low Power Aleksandar Milenkovic milenka milenka/cpe626-04F

A. Milenkovic 38

Advanced VLSI Design

Glitching in Static CMOS Networks

ABC

X

Z

101 000

Unit Delay

AB

X

ZC

Gates have a nonzero propagation delay resulting in spurious transitions or glitches (dynamic hazards)

glitch: node exhibits multiple transitions in a single cycle before settling to the correct logic value

Page 33: CPE 626 Advanced VLSI Design Lecture 8: Power and Designing for Low Power Aleksandar Milenkovic milenka milenka/cpe626-04F

A. Milenkovic 39

Advanced VLSI Design

Glitching in an RCA

S0S1S2S14S15

Cin

0

1

2

3

0 2 4 6 8 10 12

Time (ps)

S O

utp

ut

Vo

lta

ge

(V

)

Cin

S0

S1

S2

S3

S4

S5S10

S15

Page 34: CPE 626 Advanced VLSI Design Lecture 8: Power and Designing for Low Power Aleksandar Milenkovic milenka milenka/cpe626-04F

A. Milenkovic 40

Advanced VLSI Design

Balanced Delay Paths to Reduce Glitching

So equalize the lengths of timing paths through logic

F1

F2

F3

0

0

0

0

1

2

F1

F2

F3

0

0

0

0

1

1

Glitching is due to a mismatch in the path lengths in the logic network; if all input signals of a gate change simultaneously, no glitching occurs

Page 35: CPE 626 Advanced VLSI Design Lecture 8: Power and Designing for Low Power Aleksandar Milenkovic milenka milenka/cpe626-04F

A. Milenkovic 41

Advanced VLSI Design

Power and Energy Design SpaceConstant

Throughput/LatencyVariable

Throughput/Latency

Energy Design Time Non-active Modules Run Time

Active

Logic Design

Reduced Vdd

Sizing

Multi-Vdd

Clock Gating

DFS, DVS

(Dynamic Freq, Voltage

Scaling)

Leakage + Multi-VT

Sleep Transistors

Multi-Vdd

Variable VT

+ Variable VT

Page 36: CPE 626 Advanced VLSI Design Lecture 8: Power and Designing for Low Power Aleksandar Milenkovic milenka milenka/cpe626-04F

A. Milenkovic 42

Advanced VLSI Design

Dynamic Power as a Function of VDDDecreasing the VDD

decreases dynamic energy consumption (quadratically)But, increases gate delay (decreases performance)

1

1.5

2

2.5

3

3.5

4

4.5

5

5.5

0.8 1 1.2 1.4 1.6 1.8 2 2.2 2.4

VDD (V) t p

( no

r ma

l ize

d)

Determine the critical path(s) at design time and use high VDD for the transistors on those paths for speed. Use a lower VDD on the other gates, especially those that drive large capacitances (as this yields the largest energy benefits).

Page 37: CPE 626 Advanced VLSI Design Lecture 8: Power and Designing for Low Power Aleksandar Milenkovic milenka milenka/cpe626-04F

A. Milenkovic 43

Advanced VLSI Design

Multiple VDD ConsiderationsHow many VDD? – Two is becoming common

Many chips already have two supplies (one for core and one for I/O)

When combining multiple supplies, level converters are required whenever a module at the lower supply drives a gate at the higher supply (step-up)

If a gate supplied with VDDL drives a gate at VDDH, the PMOS never turns off

• The cross-coupled PMOS transistors do the level conversion

• The NMOS transistor operate on a reduced supply

Level converters are not needed for a step-down change in voltageOverhead of level converters can be mitigated by doing conversions at register boundaries and embedding the level conversion inside the flipflop (see Figure 11.47)

VDDH

Vin

VoutVDDL

Page 38: CPE 626 Advanced VLSI Design Lecture 8: Power and Designing for Low Power Aleksandar Milenkovic milenka milenka/cpe626-04F

A. Milenkovic 44

Advanced VLSI Design

Dual-Supply Inside a Logic BlockMinimum energy consumption is achieved if all logic paths are critical (have the same delay)Clustered voltage-scaling

Each path starts with VDDH and switches to VDDL (gray logic gates) when delay slack is availableLevel conversion is done in the flipflops at the end of the paths

Page 39: CPE 626 Advanced VLSI Design Lecture 8: Power and Designing for Low Power Aleksandar Milenkovic milenka milenka/cpe626-04F

A. Milenkovic 45

Advanced VLSI Design

Power and Energy Design SpaceConstant

Throughput/LatencyVariable

Throughput/Latency

Energy Design Time Non-active Modules Run Time

Active

Logic Design

Reduced Vdd

Sizing

Multi-Vdd

Clock Gating

DFS, DVS

(Dynamic Freq, Voltage

Scaling)

Leakage + Multi-VT

Sleep Transistors

Multi-Vdd

Variable VT

+ Variable VT

Page 40: CPE 626 Advanced VLSI Design Lecture 8: Power and Designing for Low Power Aleksandar Milenkovic milenka milenka/cpe626-04F

A. Milenkovic 46

Advanced VLSI Design

Stack EffectLeakage is a function of the circuit topology and the value of the inputs

VT = VT0 + (|-2F + VSB| - |-2F|)where VT0 is the threshold voltage at VSB = 0; VSB is the source- bulk

(substrate) voltage; is the body-effect coefficient

A B

B

A

Out

VX

A B VX ISUB

0 0 VT ln(1+n) VGS=VBS= -VX

0 1 0 VGS=VBS=0

1 0 VDD-VT VGS=VBS=0

1 1 0 VSG=VSB=0

Leakage is least when A = B = 0

Leakage reduction due to stacked transistors is called the stack effect

Page 41: CPE 626 Advanced VLSI Design Lecture 8: Power and Designing for Low Power Aleksandar Milenkovic milenka milenka/cpe626-04F

A. Milenkovic 47

Advanced VLSI Design

Short Channel Factors and Stack EffectIn short-channel devices, the subthreshold leakage current depends on VGS,VBS and VDS. The VT of a short-channel device decreases with increasing VDS due to DIBL (drain-induced barrier loading).

Typical values for DIBL are 20 to 150mV change in VT per voltage change in VDS so the stack effect is even more significant for short-channel devices.

VX reduces the drain-source voltage of the top nfet, increasing its VT and lowering its leakage

For our 0.25 micron technology, VX settles to ~100mV in steady state so VBS = -100mV and VDS = VDD -100mV which is 20 times smaller than the leakage of a device with VBS = 0mV and VDS = VDD

Page 42: CPE 626 Advanced VLSI Design Lecture 8: Power and Designing for Low Power Aleksandar Milenkovic milenka milenka/cpe626-04F

A. Milenkovic 48

Advanced VLSI Design

Leakage as a Function of Design Time VT

Reducing the VT increases the sub-threshold leakage current (exponentially)

90mV reduction in VT increases leakage by an order of magnitude

But, reducing VT decreases gate delay (increases performance)

0 0.2 0.4 0.6 0.8 1

VGS (V)ID

(A)

VT=0.4V

VT=0.1V

Determine the critical path(s) at design time and use low VT devices on the transistors on those paths for speed. Use a high VT on the other logic for leakage control.

A careful assignment of VT’s can reduce the leakage by as much as 80%

Page 43: CPE 626 Advanced VLSI Design Lecture 8: Power and Designing for Low Power Aleksandar Milenkovic milenka milenka/cpe626-04F

A. Milenkovic 49

Advanced VLSI Design

Dual-Thresholds Inside a Logic Block

Minimum energy consumption is achieved if all logic paths are critical (have the same delay)

Use lower threshold on timing-critical pathsAssignment can be done on a per gate or transistor basis; no clustering of the logic is needed

No level converters are needed

Page 44: CPE 626 Advanced VLSI Design Lecture 8: Power and Designing for Low Power Aleksandar Milenkovic milenka milenka/cpe626-04F

A. Milenkovic 50

Advanced VLSI Design

Variable VT (ABB) at Run Time

VT = VT0 + (|-2F + VSB| - |-2F|)

0.4

0.45

0.5

0.55

0.6

0.65

0.7

0.75

0.8

0.85

0.9

-2.5 -2 -1.5 -1 -0.5 0

VSB (V)

VT (

V)

• A negative bias on VSB causes VT to increase

• Adjusting the substrate bias at run time is called adaptive body-biasing (ABB)

• Requires a dual well fab process

• For an n-channel device, the substrate is normally tied to ground (VSB = 0)