chemical mechanical planarization - entegris · cmp or chemical mechanical planarization in...

27
Chemical Mechanical Planarization Process control | Cleanliness | Uptime optimization | Uniformity

Upload: phungthu

Post on 09-Apr-2018

225 views

Category:

Documents


3 download

TRANSCRIPT

Page 1: Chemical Mechanical Planarization - Entegris · CMP or Chemical Mechanical Planarization in semiconductor and hard disk drive manufacturing environments continues to evolve and gain

Chemical Mechanical PlanarizationProcess control | Cleanliness | Uptime optimization | Uniformity

Page 2: Chemical Mechanical Planarization - Entegris · CMP or Chemical Mechanical Planarization in semiconductor and hard disk drive manufacturing environments continues to evolve and gain

CMP or Chemical Mechanical

Planarization in semiconductor

and hard disk drive manufacturing

environments continues to evolve

and gain critical importance as

the geometry and spacing of the

wafer and substrate designs become

more complex. To enable these

advancements, Entegris offers a

wide range of products that provide

solutions for:

• In fab delivery of bulk and point-

of-use CMP slurries to CMP tools

• Removal of particles and gels

from CMP slurries prior to contact

with the wafer

• Conditioning the CMP pad

• Removal of residue and waste

from the wafer surface post CMP

Chemical Mechanical Planarization

Page 3: Chemical Mechanical Planarization - Entegris · CMP or Chemical Mechanical Planarization in semiconductor and hard disk drive manufacturing environments continues to evolve and gain

ENTEGRIS INC. «» CMP «» 1

table of contentsSection 1: About Entegris

Creating a Material Advantage ................................................... 2

Global Presence ........................................................................... 3

Enabling Innovation .................................................................... 4

Close to Our Customers .............................................................. 5

CMP Solutions .............................................................................. 6

Section 2: Product/Solutions

PURITYPlanargard® Filter Family ...................................................... 8

Planarcap® Filter Family ....................................................... 10

Solaris® Filter Family ............................................................. 12

AMC Tool-Top Filters .............................................................. 14

MATERIALS MANAGEMENTMicroenvironment Control Solutions ................................... 16

Planarcore® PVA Brushes ....................................................... 18

Planargem® Pad Conditioners ............................................... 20

Tube and Pipe Fittings ........................................................... 22

PrimeLock® Fittings ............................................................... 24

Flaretek® 90° Sweep Elbows ................................................ 26

Tubing and Pipe ....................................................................... 28

CR4, CR8 and CH8 Manual and Pneumatic Valves ............ 30

PROCESS CONTROLNT® Electronic Flowmeters, Model 4400 ............................. 32

NT Integrated Flow Controllers, Models 6510 and 6520 .... 34

NT Control Modules ................................................................ 36

NT Pressure Transducers ....................................................... 38

CR-288® Concentration Monitors .......................................... 40 CMP Cleaning Part Services .................................................. 42

Page 4: Chemical Mechanical Planarization - Entegris · CMP or Chemical Mechanical Planarization in semiconductor and hard disk drive manufacturing environments continues to evolve and gain

«» CMP «» ENTEGRIS INC. ENTEGRIS INC. «» CMP «» 32

With 2,800 employees worldwide, Entegris thrives on the challenge to meet our customers’ expectations through a global network of service, technology, manufacturing and applications support teams, all built upon a tradition of product and process innovation.

global presence

lab capabilities

Europe and Israel

Dresden

Moirans

Kiryat-Gat

Montpellier

Limonest

Asia and Japan

SingaporePenang

Kulim

Osaka

Tokyo

YonezawaSeongnam-si

Beijing

FukuokaShanghai

Tainan

TaoyuanHsinchu

Wonju-si

North America

Colorado Springs

San DiegoDecatur

FranklinBurlington

BillericaChaskaMinneapolis

Pleasant Hill

Analytical Services Product Testing Material Science• Airborne molecular contamination • Performance testing • New material development

• Surface contamination • Particle testing • Material characterization

• Applications support • Electrostatic charge • Material selection

• Root-cause analysis • Vibration • Material incoming inspection

• Flow rate optimization • Applications support

• Applied statistics

• Safety and industry standardization

• DOT and UN regulations

• CE marking

Entegris provides innovative materials science-enabled solutions for applications across key semiconductor processes to help chip makers solve manufacturing challenges, enhance yield and gain sustainable competitive advantage.

purify, protect and transport

bulk chemicalAs wafer sizes continue to increase and line widths shrink, purity requirements become more stringent and precise chemical blending becomes more critical. Whether it’s transport or storage, mixing or dispense, Entegris has solutions to meet bulk chemical handling needs.

chemical mechanical planarizationFrom filtration, liquid and slurry handling, Entegris products enable the CMP process.

wet etch and cleanUltrapure liquids and gases are purified, protected and transported with Entegris filters, purifiers, valves, fittings and sensing and control products.

substrate handlingEntegris provides wafer handling products to protect and transport prime wafers, wafers being processed, finished wafers, bare die and packaged devices. Products include wafer carriers, wafer shippers, mask and reticle carriers, bare die trays, horizontal wafer shippers, chip trays and film frame shippers.

photolithographyEntegris’ broad product line enables lithography processing with gas filters and diffusers, purifiers and purification systems, wafer and reticle handling, liquid filtration, purification and control.

Entegris 2012Industries Served: Semiconductor,

Compound Semi/LED, Data

Storage, Flat Panel, PV Solar,

Energy Storage, Life Sciences

Sales: $716 million

Patents: Holds 287 U.S. patents

and 593 patents in other

countries

Employees: 2,800 worldwide

Stock Listing: ENTG on NASDAQ

Page 5: Chemical Mechanical Planarization - Entegris · CMP or Chemical Mechanical Planarization in semiconductor and hard disk drive manufacturing environments continues to evolve and gain

«» CMP «» ENTEGRIS INC. ENTEGRIS INC. «» CMP «» 54

The technology roadmaps to produce the next generation of semiconductor devices and microelectronics are presenting unprecedented technological challenges, as well as ever increasing pressure to improve yields and productivity. As the leading provider of contamination control products and services to the global semiconductor and microelectronics industry, Entegris is using its analytical and materials science expertise to develop comprehensive solutions to address critical contamination issues in the fab.

Electronics that are smaller, faster, cheaper and more functional

ITRS 2010 2012 2014 2016

Node 32 22 14 10

DRAM 1/2 Pitch (nm) 45 32 25 20

Critical Particle Size (nm) 20 15 10 5

Critical Metal Ions (ppt) 1000 1000 100 TBD

Molecular Contaminants Surface Airborne Airborne Airborne

Leveraging our materials science expertise

Entegris TechnologiesLiquid and Gas Filtration Continuous Improvement and Purification Filtration products: smaller pore size, higher flow, higher retention

Purification products: volatile organics, AMC, moisture

Wafer Handling Advanced 300 mm Microenvironment Control 450 mm prototypes

Mask Handling Carriers to prevent reticle haze EUV mask carriers

Fluid Handling Components for a wider range of process conditions, precise real-time sensing and control

Coatings New coating technologies for components used in next generation semiconductor processing

Application and Process�Knowledge

We Respond�Quickly with Prototypes

We Develop Relevant Final Solution

Relevant,Trusted,

TechnologyPartner

We Listen

Customer�views Entegris as solutions provider

Customer shares sensitive product

roadmap information

Customer enters� problem-solving�

relationship

Customer provides feedback

on prototype performance

Pilot Capability�at Most Sites

Expand Applications�Excellence

Direct Engagement�

by Engineering Teams

Faster New Product

Development

Entegris Milestones1966: Founded as Fluoroware, Inc.

2000: Begins trading under the ENTG

symbol on NASDAQ

2005: Merges with Mykrolis Corporation,

a leading provider of liquid filtration

and gas purification products and

systems

2006: Expands manufacturing facility in

Kulim, Malaysia

2007: Acquires Surmet Corporation’s high-

purity semiconductor coatings business

2008: Acquires Poco Graphite, an industry

leader in high-performance graphite

and silicon carbide

2009: Acquires PureLine Co., a fluid

handling component manufacturer,

in Kangwon-do, Korea

2011: Opens manufacturing facility in

Hsinchu, Taiwan

2012: Opens the Advanced Technology

Center, manufacturing for 450 mm

and EUV, in Colorado Springs, CO

Acquires Entegris Precision Technology,

a HDPE drum blowmolding facility,

in Yangmei, Taiwan

Opens new facility to provide

specialized silicon and diamond-

like coatings in Lyon, France

2013: Acquires Jetalon Solutions, a precision

chemical sensor and analyzer maker

Direct sales and local support gives us the opportunity to achieve customer intimacy.

Customer intimacy helps us better understand our customers’ needs through direct feedback and roadmap sharing.

By aligning our material science, engineering and R&D initiatives, we can develop indispensable contamination control solutions to solve our customers’ roadmap challenges.

close to our customersenabling innovation

Page 6: Chemical Mechanical Planarization - Entegris · CMP or Chemical Mechanical Planarization in semiconductor and hard disk drive manufacturing environments continues to evolve and gain

«» CMP «» ENTEGRIS INC. ENTEGRIS INC. «» CMP «» 76

Our expertise in material science and contamination control enables our customers to meet the demands of the market by reducing costs, enhancing yields, increasing productivity, and improving process control.

The following pages highlight 18 products and services designed to solve your fab challenges by providing best-in-class purification, materials management and process control solutions.>>>

Challenge

Purity Materials Management Process Control

Plan

arga

rd®

Filte

r Fam

ily

Plan

arca

p® F

ilter

Fam

ily

Sola

ris®

Filte

r Fam

ily

AMC

Tool

-Top

Filt

ers

Spec

tra™

FOU

P

Plan

arco

re®

PVA

Brus

hes

Plan

arge

Pad

Cond

ition

ers

Tube

and

Pip

e F

ittin

gs

Prim

eLoc

k® F

ittin

gs

Flar

etek

® 90

° Sw

eep

Elbo

ws

Tubi

ng a

nd P

ipe

CR4/

CR8/

CH8

Man

ual &

Pne

umat

ic V

alve

s

NT®

4400

Ele

ctro

nic

Flow

met

ers

NT 6

510/

6520

Inte

grat

ed F

low

Con

trol

lers

NT

Cont

rol M

odul

es

NT

Pres

sure

Tra

nsdu

cers

CR-2

88®

Conc

entr

atio

n M

onito

rs

CMP

Clea

ning

Par

t Se

rvic

e

Customer Challenges

Proc

ess

Improve yield | Defect reduction

• • • • • • • • • • • • •

Improve start-up time with new products • • • • • • •

Process flexibility • • • • • • • • • • • • •

Man

ufac

turin

g

Improve wafer throughput • • • • •

Improve tool uptime • • • • • • • • • • • • •

Maintain and control operating conditions and process parameters

• • • • • • • • • • •

Maintain clean wafer environment • • • • • • • • •

Equi

pmen

t Reduce calibration of sensors and equipment • • •

Reduce scheduled and unscheduled maintenance • • • • • • • • • •

Cost

Chemicals and gases

Consumables • • • • • •Energy (Electricity / Air) • • • • •Components •

Slurry Blending

DI Water Loop

Integrated CMP Tool

Bulk Slurry

For over 40 years, Entegris has been at the forefront of providing comprehensive microcontamination control solutions – with products and services that surround key processes such as wet etch and clean, lithography, CMP and substrate handling.

CMP solutions

Page 7: Chemical Mechanical Planarization - Entegris · CMP or Chemical Mechanical Planarization in semiconductor and hard disk drive manufacturing environments continues to evolve and gain

«» CMP «» ENTEGRIS INC. ENTEGRIS INC. «» CMP «» 98

PUR

ITY

Entegris’ Planargard® melt-blown graded density slurry filters provide CMP applications the critical performance balance between the removal of defect-causing agglomerate gels and the delivery of the necessary slurry solution to the wafer surface.

• Excellent retention of agglomerates

• Removal of disruptive particles only

• No action on the particle size distribution of working particles

Optimized for bulk filtration of CMP slurries

FEATURE ADVANTAGE BENEFITGraded-density depth filter design

• Defect-causing agglomerates retention in the four layers of the filter

• Reduced potential premature filter "plugging"

• Longer filter lifetime

Disruptive particle removal • No particle size distribution change of the desired slurry particles after filtration

• Consistent slurry delivery to the process

Compatible with Chemlock® housing

• Bowl and cartridge are removed as a single unit

• Easy and rapid changeout• Space saving

Planargard® Filter Family

performance• Depth filter with four-layer construction

to trap particles and ensure long filter life. Critical slurry particles pass through all four layers and are delivered to the polishing tool

Four layer depth filterfrequently asked questionsHow do I choose the appropriate Planargard filter for my application?You should consider slurry characteristics, such as abrasive types, particle distribution and solid concentration to determine the appropriate Planargard filter for your application. For any question, please contact your local representatives.

What is the lifetime of a Planargard filter?The lifetime varies by the process condition. If you want to know the lifetime of using Planargard filters in your applications, please contact our local representatives for filter analysis and testing.

Product Planargard NMB Filter

Planargard CL Bulk Filter

Planargard CMP Filter

Planargard CS Bulk Filter

Application Ceria and colloidal silica bulk slurry applicationsSub-fab CDS filtration

Materials Membrane: PolypropyleneSupport: PolypropyleneCartridge o-ring: EPR, Silicon, 2-222

Membrane, core, sleeve,endcaps: PolypropyleneCartridge o-ring: EPR, 2-222

Filter element: All-polypropylene constructionCartridge o-ring: EPR

Filter element: All-polypropylene constructionCartridge o-ring: EPR, 2-222

Maximum operating temperature

80°C (176°F)

Maximum forward differential pressure

0.48 MPa (4.8 bar, 70 psi) @ 25°C (77°F)

Maximum reverse pressure

0.15 MPa (1.5 bar, 22 psi) @ 20°C

Available sizes 10", 20", 30", 40" 5", 10", 20", 30" 10", 20", 30" 5", 10", 20", 30"

Available retention ratings

0.3, 0.5, 0.7, 1.0 μm 0.2, 0.3, 0.5, 0.7, 1.0 μm

Tailored to application needs

performance (continued)• Particles results of tests conducted by

Entegris demonstrate that Planargard cartridges do not affect the particle size distribution of working particles.

• Filters tighter than CMP1 can remove desirable working particles, causing premature plugging and reduced removal rates.

100

90

80

70

60

50

40

30

20

10

0

CMP1 CMP3 CMP7

CMP9

CMP11

CMP13

CMP16

CMP5

0 5 10 15 20 3025

Planargard CMP Cartridge Filters

Particle Size (µm)

Rete

ntio

n (%

)

Planargard CMP Cartridge Filters

Page 8: Chemical Mechanical Planarization - Entegris · CMP or Chemical Mechanical Planarization in semiconductor and hard disk drive manufacturing environments continues to evolve and gain

«» CMP «» ENTEGRIS INC. ENTEGRIS INC. «» CMP «» 1110

PUR

ITY

Planarcap® Filter Family

Entegris’ Planarcap® family of CMP slurry filters are designed for point-of-dispense (POD) applications. Planarcap filters provide the critical performance balance between the removal of defect-causing agglomerate gels and the delivery of the necessary slurry solution to the wafer surface.

• Pleated design providing best combination of surface area and media gradient

• Longer lifetime with improved flow

Optimized for copper, STI, ILD and tungsten applications to capture particles in silica, ceria or alumina slurries.

FEATURE ADVANTAGE BENEFITPleated depth filter design • Defect-causing particles retention

• Multi-stage, graded-density design• Superior lifetime• Superior flow

High-retention disposables • Reduction of micro-scratches and total defects

Focused application development

• Designed specially for: - alumina and silica abrasives

containing slurries- ceria and colloidal slurries

• Defect-causing particles removal• Low pressure drop• Higher lifetime

performance• Planarcap KPX is designed to maintain a long

lifetime and a high particle removal efficiency even in the most hard-to-filter slurries.

10

9

8

7

6

5

4

3

2

1

0200 40 60 80 9010 30 50 70 100

Normalized Time

Pres

sure

(PSI

)

1.5 µm Planarcap KPX1.5 µm competitor filter

Relative Lifetime of 1.5 µm POD Filters

frequently asked questions

Can Planarcap be used in a bulk chemical system?No, Planarcap filter is better used for small volume applications. It is not adequate for bulk chemical systems.

Is there any special treatment needed for Planarcap filters?Normally no special treatment is needed for using Planarcap filters. However, you may need pressure activation for sub 1 µm filters. Please contact our local representatives for instructions.

Product Planarcap KPX Filter Planarcap LPX Filter Planarcap TPX Filter

Application CMP slurry filtrationPOD and POU filtration

Copper, ILD, STI and tungsten CMP applications

STI and Cu CMP

Materials Medium: Polypropylene Medium: Pleated depth polypropylene

Medium: Pleated depth polypropylene

Core, cage, shell: Polypropylene

Maximum operating temperature

40°C (104°F)

Maximum forward differential pressure

0.27 MPa (2.7 bar, 40 psi) @ 25°C

Maximum forward /reverse pressure

0.27 MPa (2.7 bar, 40 psi) @ 20°C (68°F)

Available sizes 2.5", 5" 2.5", 5"

Available retention ratings

1.0, 1.5 μm 1.0, 2.0, 3.0, 5.0 μm 0.1, 0.2, 0.3 μm

Tailored to application needs

Relative lifetime of 1.5 μm Planarcap KPX compared to a competitor 1.5 μm POD filter

100

90

80

70

60

50

40

30

20

10

01.0 µm Planarcap KPX 1.5 µm Planarcap KPX

Filter Type

Rete

ntio

n (%

)

1.0

0.9

0.8

0.7

0.6

0.5

0.4

0.3

0.2

0.1

0

Pres

sure

Dro

p (p

si)

Pressure drop at 1 L/minin DI water

Retention (%) of 1.0 µm SS12 slurry particles

performance (continued)Furthermore, tighter filtration is seen to have a very significant affect on wafer level defectivity.

• Specifically, scratch defects are seen to be reduced by over 50% when switching to a 1.0 µm Planarcap KPX from a 1.5 µm Planarcap KPX.

• Given the affect of tighter filtration at POD on wafer-level defectivity, the benefits of increased filter life and high retention efficiency provided by the Planarcap KPX product line are evident.

Retention efficiency and pressure dropof Entegris POD filters

Page 9: Chemical Mechanical Planarization - Entegris · CMP or Chemical Mechanical Planarization in semiconductor and hard disk drive manufacturing environments continues to evolve and gain

«» CMP «» ENTEGRIS INC. ENTEGRIS INC. «» CMP «» 1312

PUR

ITY

Entegris’ Solaris® graded density CMP slurry filters provide point-of-use (POU) CMP applications the critical performance balance between the removal of defect-causing agglomerate gels and the delivery of the necessary slurry solution to the wafer surface.

• Designed to meet the needs of next-generation point-of-use (POU) slurries

• Self-venting in vertical installation for optimum flow characteristics

• All-polypropylene construction with melt-blown wrapped depth filtration media

• Retention range from 0.3 µm to 5.0 µm

Optimized for copper, STI, ILD and tungsten applications.

Solaris® Filter Family

FEATURE ADVANTAGE BENEFITOptimized depth media • Superior agglomerate gel holding

capability• Longer filter lifetime

Self-venting filtration • Eliminates dead space and potential for slurry dry-out in the filtration media, ensuring longer life

• More efficient startup • Less slurry handling

Disposable, Connectology® design

• Compact stable design• Quick installation

• Minimizes downtime• Safer installation

performance

Particle Size (µm)

Rete

ntio

n (%

)

100

80

60

40

20

00.2 0.6 1.0 1.4 1.81.60 0.4 0.8 1.2

Solaris NMB RetentionSolaris NMB Filters

Flow Rate (L/min) — 1 cP @ 20°C (68°F)

GPM

Diff

eren

tial P

ress

ure

(kPa

)

Diff

eren

tial P

ress

ure

(psi

d)

40

30

20

10

0

5

4

3

2

1

00 0.5 1.0 1.5 2.0

0 0.1 0.2 0.3 0.4

0.1 µm

0.2 µm

0.2 µm0.1 µm

Note: Characterize media retention by Entegris proprietary protocol of PSL beads solution

frequently asked questionsDoes the Solaris filter family have a connectology option?Yes. All of the Solaris filters can be specified with a connectology manifold.

Can the Solaris Filter Family be used for POD (Point-of-dispense) process?Yes, you need to consider the space of dimension to install Solaris filters in your POD process.

Product

Solaris NMB Disposable Filters

Solaris CL Depth Filters

Solaris CM Depth Filters

Solaris SCR Filters

Materials Media: Melt-brown polypropylene

Media: Wrapped melt-blown polypropylene

Media: Polypropylene depth filter

Media: Melt-blown polypropylene

O-ring: EPR O-ring: EPR Shell: Polypropylene O-ring: EPR

Maximum operating conditions

Maximum forward differential pressure: 50 psi @ 20°C (68°F)

Maximum forward differential pressure: 50 psi @ 20°C (68°F)

Maximum operating temperature: 50°C (122°F)

Maximum forward differential pressure: 50 psi @ 20°C (68°F)

Maximum liquid service pressure: 3.5 bar @ 20°C (68°F)

Available sizes 5" 5" 5" 5", 10"

Available retention ratings

0.1, 0.2 μm 0.3, 0.5, 0.7, 1.0, 3.0 μm 0.3, 0.5, 0.7, 1.0, 3.0, 5.0 μm

1.5, 3.0 μm

Tailored to application needs

Solaris NMB Retention Curve

Page 10: Chemical Mechanical Planarization - Entegris · CMP or Chemical Mechanical Planarization in semiconductor and hard disk drive manufacturing environments continues to evolve and gain

«» CMP «» ENTEGRIS INC. ENTEGRIS INC. «» CMP «» 1514

PUR

ITY

AMC Tool-Top Filters

FEATURE ADVANTAGE BENEFITHigh removal efficiency (>95%) • Removes critical acids, bases and organics

from the fab ambient air• Reduces contamination risk

Proprietary chemistry developed with key OEMs

• Protects optics and process • Qualified by leading fabs

High surface area • Low pressure drop • Reduces energy requirements and cost

Optimized blend of treated, untreated activated carbons and ion-exchange media

• Balanced mix to match lifetimes for all contaminant classes

• Provides targeted contaminant removal

Data-driven See it. Control it. methodology

• Clearly identifies critical AMC levels in customer’s facility

• Provides tailored, application-specific filtration solutions

Product backed by Entegris OEM certified, ISO 17025 accredited laboratory services

• Product performance windows are clearly defined

• Provides assurance that all performance claims are verified with supporting data

Available in a range of sizes to satisfy various installation requirements, including tool-top, fan filter unit, tool interface or in-tool housing in all equipment front-end modules (EFEM) and process modules

• Field tested and proven • Easy to implement and install

performanceFilter lifetime estimate: actual filter life is determined by measuring the fab’s chemical challenge concentration, downstream quality and testing of returned filters.

Pressure Loss vs. Velocity

Velocity (ft/min)

Pres

sure

Los

s (P

a +/

- 25

%)

120

100

80

60

40

20

00 50 100 150 200

100

95

90

85

80

75

70

Typical Lifetime

Lifetime (ppb-hrs ±25%)

Rem

oval

Eff

icie

ncy

(%)

0 100,000 200,000 300,000 400,000 500,000

Acids

Organics

Bases

A unique chemistry removes critical contaminants at a low pressure drop for production tools.

• High removal efficiency >95%

• Removes critical acids, bases and organics from the fab ambient air to reduce contamination risk

• Long life

• Removes a comprehensive array of AMCs in a single filter cell

Optimized for process tool Airborne Molecular Contamination control.Entegris AMC tool-top filters provide AMC protection for all semiconductor process tools including: wafer metrology, reticle inspection, ALD, EPI, diffusion, CVD, PVD, wet etch, wafer clean, dry etch and CMP.

frequently asked questionsHow do I choose the correct filter for my application?Our recommendation is based on different parameters such as: AMC targeted, flow rate, maximum pressure drop acceptance, mechanical dimensions and expected lifetime.

How do we determine filter lifetime?Entegris provides on site and post mortem analysis to optimize filter changeouts.

Page 11: Chemical Mechanical Planarization - Entegris · CMP or Chemical Mechanical Planarization in semiconductor and hard disk drive manufacturing environments continues to evolve and gain

«» CMP «» ENTEGRIS INC. ENTEGRIS INC. «» CMP «» 1716

MA

TERIALS M

AN

Ag

EMEN

T

performance

Microenvironment control: A system approach

• Entegris Barrier Material (EBM) to improve purge duration, quicker purge down time and less absorption/desorption of outgassing from wafers

• Snorkel purge option to rapidly purge down to low moisture and oxygen levels while directing airflow between the wafers

• Clarilite® Wafer for maintaining low moisture or oxygen conditions for extensive time frames

Microenvironment Control Solutions

The Spectra™ FOUP is a 25+1 capacity front opening unified pod (FOUP) that provides high-performance wafer transport, optimum automation integration and low cost of ownership for your facility.

• High-strength assembly

• Wafers protected against shock and vibration

• Meets all applicable SEMI® standards

• Uses STAT-PRO® 3000 carbon-filled PEEK™ material at all wafer contact points

• One piece molded shell

• Optional configuration available for a conductive shell to increase protection

• Microenvironment control

Optimized for below 45 nm processing.

frequently asked questions

What kind of identification options are offered?• Various shell colors for segregation• Colored inserts on handles• License plate• Molded-in bar code• Print-on-demand labels• Laser marking

Why 25+1 slots instead of 25?One slot can be used for a test or monitor wafer

FEATURE ADVANTAGE BENEFIT

New latch mechanism

Dual action wafer retainer

Wafer contacts are all PEEK

Wafer edge contact only

Purge capable

• Increases FOUP sealing and conductance• Increases ESD protection• Reduces airborne molecular

contamination (AMC) and backside particles

• Improves yields

Molded one-piece carbon-filled PEEK wafer supports integrated with shell

• Greatly reduced tolerance issues• Precise wafer plane performance

• Increases tool uptime• Improves reliability and

interoperability on loadports• Improves tracking through

molded-in barcodes and RF tags

Simple, reliable pod assembly • Has minimum part count and no metal parts

• Snap fit parts

• Improves COO• Improves cleaning and drying

cycle time• Field replaceable units for FOUP

refurbishing

EBM configuration • Allows significant extension of purged environment (low moisture)

• Quicker purge down time

• Protects wafers longer from conditions that allow corrosion or hazing due to moisture

Snorkel purge • Higher airflow• Directs airflow between wafers

• More effective purge than traditional techniques

Purging with inert gas will remove moisture and oxygen during or between critical process steps. Etching and deposition steps are prime examples.

• Reduces corrosion and hazing issues

• Improves yield

• Improves queue processing time

• Helps control environmental contamination due to:

- Outside contamination (atmosphere) - Outgassing of the wafers - Outgassing of the FOUP (humidity)

Challenges in wafer microenvironment control O2 and H2O control

0

5

10

15

20

25

30

35

40

45

0 10 20 30 40 50 60 70 80 90

Standard PC FOUP

EBM FOUP

100 110 120 130 140Time (min)

Hum

idity

(% R

H)

Relative humidity (H2O) recovery over time after a FOUP purge

EBM Spectra-S

Process Acid Base Organic O2 H2O Dopant

Gate Oxide • • •Ultra Shallow Junction • • • •Copper seed to plate interface •Exposed Copper Corrosion • •Reticle Storage • •HSG (hemispherical glass) Poly • • •Salicide contact formation • • • •SiGe • • •EPI/Pre-EPI • • •Lithography (photoresist sensitivity)

Page 12: Chemical Mechanical Planarization - Entegris · CMP or Chemical Mechanical Planarization in semiconductor and hard disk drive manufacturing environments continues to evolve and gain

«» CMP «» ENTEGRIS INC. ENTEGRIS INC. «» CMP «» 1918

MA

TERIALS M

AN

Ag

EMEN

T

FEATURE ADVANTAGE BENEFITMolded-through-the-core design

• Allow rapid and consistent installation on tools

• Eliminate alignment and gapping problems• Remain dimensionally stable

• Reduce system downtime• Increase system throughout• Keep concentricity during use

High-purity PVA • Allow for more efficient cleaning of PVA in manufacture

• Reduce brush break-in and flush-up time• Low extractable and reduced particle counts

on wafers

• Deliver the most consistent performance

• Quicker CMP tool startup

Close molded technology • Very good core flow equalization • No risk of non-repeatable and non-predictable performance due to inconsistent flow rates through the length of the brush

Designed for use with Applied Materials®, Ebara® and Ontrak® CMP equipment, Planarcore® PVA brushes feature molded-through-the-core construction for superior performance and wafer-to-wafer cleaning consistency.

• Easy installation

• Reduce downtime on tool

• Decrease defectivity on wafer

• Consistent cleaning for the period of brush life

Optimized for the needs of next-generation copper and ILD applications.

Planarcore® PVA Brushes

performance

The results of an accelerated tribological stress evaluation (48-hour marathon run) of PVA brushes show the following:

• The post-CMP cleaning comparative evaluation of Planarcore in a Cu/Low-k process was found to be similar or better than the fab POR slip-on-the-core design brushes in a third-party characterization of brushes

• The total variation range of dynamic coefficient of friction (COF) for Planarcore seems to be minimum vs. slip-on-the-core PVA sleeve design of two other suppliers.

What is the cleanliness of our brush?We have low impurity with metals, ions and particles.

What are the main differences or advantages vs. competitors?The major advantages we have, compared to our competitors include ease of use, brush break-in process, wafer-to-wafer consistency and longer lifetime.

frequently asked questions

3500

3000

2500

2000

1500

1000

500

0POR Total Entegris PVA Total

Nor

mal

ized

Def

ect

Coun

t

Brush Type

Roughness/corrosion Scratch Particle/residue

No defect found/unknown Non-CMP

Average AIT XP pareto of defects for two PVA brush types

Brush C (MTTC Design) COF Results1.0

0.8

0.6

0.4

0.2

0

-0.22 8 36244 48

COF

(Mea

n an

d To

tal R

ange

)

Scrubbing Time (Hours)

Brush C (MTTC design) COF resultsMolded-through-the-core (MTTC) design PVA brushClose molded PVA and working surface with nodules

Integrally molded stay-in-place core/mandrel

PVA flow equalization and anchor layer

Flow channelFluid flow

through core

Page 13: Chemical Mechanical Planarization - Entegris · CMP or Chemical Mechanical Planarization in semiconductor and hard disk drive manufacturing environments continues to evolve and gain

«» CMP «» ENTEGRIS INC. ENTEGRIS INC. «» CMP «» 2120

MA

TERIALS M

AN

Ag

EMEN

T

Designed to maintain constant surface morphology and consistent material removal rate, preventing "glazing".

• Infinite pattern design flexibility

• Tuned diamond film properties

• Reduced scratch defects

• Consistent pad cut rate performance

• Longer lifetime

Optimized for harsh environments and chemistries such as in-situ Tungsten, as well as configuration for sub-20 nm technologies requiring gentle conditioning when polishing extremely sensitive surface features.

Planargem® Pad Conditioners

FEATURE ADVANTAGE BENEFITPolycrystalline CVD Diamond Film

• Enable long life• Chemically clean and stable in process

chemistry• Stronger than natural diamond grit

• Reduce work per facet• No internal defects

Textured Silicon Carbide Substrate

• Flexible topography design• No diamonds to fall out or break off into

polishing process• Significantly improved disc to disc

repeatability

• Tailored to fit customer process• Provide reduced CMP process

variability

Segment Design • Assembly flatness• Keep 'flatness'

• Cost efficient• High design flexibility

What is the pad cut rate drop performance?• CVD Film and engineered substrate contribute

to stable performance and eliminate conditioner break-in

• Provides savings on both pad and conditioner life• Tunability of features and coating enable

throughput maximization

What are the main differences or advantages vs. competitors?Our key product benefits include: performance flexibility, lower defectivity, consistency, cleanliness and extended lifetime.

frequently asked questions

performance

Nor

mal

ized

Pad

Cut

Rat

e (µ

m/h

r)

0.00

0.20

0.40

0.60

0.80

1.00

1.20

0 2 4 6 8 10 12Conditioning Time (hr)

Planargem

CVD Competitor

Standard Conditioner A

Standard Conditioner B

Pad Conditioner - Cut Rate UniformityPad Conditioner - Cut Rate Uniformity

Page 14: Chemical Mechanical Planarization - Entegris · CMP or Chemical Mechanical Planarization in semiconductor and hard disk drive manufacturing environments continues to evolve and gain

«» CMP «» ENTEGRIS INC. ENTEGRIS INC. «» CMP «» 2322

MA

TERIALS M

AN

Ag

EMEN

T

Entegris has the broadest range of configurations and sizes available for Flaretek, FlareLock®II and PureBond® PFA fittings.

• Most complete line of flare and pipe fitting connection applications

• Flare fittings provide leak-free performance in demanding applications

• PureBond weldable pipe fittings provide a rigid, permanent, leak-free piping system

• Broad range of sizes and configurations: flare 1⁄4"– 11⁄4", PureBond 1⁄4"– 2" elbows, unions, reducers, caps, tees, crosses and adapters

Optimized for bulk distribution, valve manifold boxes mainline, wet etch and clean applications: HF, H2O2, H2SO4, H3PO4, HNO3, MAE and chemical mechanical planarization.

frequently asked questions

performance

FEATURE ADVANTAGE BENEFITPFA wetted surfaces • Provides high purity and

chemical resistance • Long product life

• Lower cost of ownership through increased productivity and throughput

Largest installed customer base of PFA fittings

• Global manufacturing and support• Assured reliability and performance

• High service levels in all regions • Lower cost of ownership through

increased manufacturing uptime

Available in a broad range of sizes and configurations

• Single source supplier for all high-purity, corrosive chemical connection needs

• Suitable for a wide variety of high-purity applications

• Reduces number of suppliers• Lower design cost

Flow Pattern Comparison Standard vs. Sweep Elbow at 5 GPM

The Computational Fluid Dynamics software analysis shows a 56% increase in flow and 60% lower pressure drop through the same size fitting at 5 GPM. Eliminating the sharp corner also reduces the shear rate of slurries.

Maximum Pressure Capabilities @ Room Temperature Values in psig (kPa)

FlareLock II Size PVDF Nut PFA Nut CPFA Nut PFA Nut1⁄4" 225 (1551) 225 (1551) 225 (1551) 225 (1551)3⁄8" 225 (1551) 225 (1551) 225 (1551 225 (1551)1⁄2" 190 (1310 190 (1310) 190 (1310) 190 (1310)3⁄4" 110 (758) 110 (758) 110 (758) 140 (965)

1" 75 (514) 75 (514) 75 (514) 90 (620)

11⁄4" 80 (552) — — N/A

What is Flaretek?The flaring process provides a permanent expansion (flare) of the tubing end, allowing insertion of the Flaretek fitting body.

What are the tools required to make a proper Flaretek connection?A heating source (air gun), mandrel and tube cutter.

What are the requirements to make a PureBond connection?A 1‘‘ or 2‘‘ Entegris PureBond tool kit.

What does a X at the end of a part number mean (e.g. E8-8FN-1X)?X means fitting body is made of PFA HP Plus and it is recommended for chemicals containing fluorinated surfactants.

When is FlareLock II recommended? For elevated temperatures >100°C or higher pressure (see chart) and before/after pumps (pulsation / vibration).

Tube and Pipe Fittings

Page 15: Chemical Mechanical Planarization - Entegris · CMP or Chemical Mechanical Planarization in semiconductor and hard disk drive manufacturing environments continues to evolve and gain

«» CMP «» ENTEGRIS INC. ENTEGRIS INC. «» CMP «» 2524

MA

TERIALS M

AN

Ag

EMEN

T

PrimeLock® Fittings

The simplest, cleanest and most robust PFA fitting on the market.

• PrimeLock® fittings ensure leak-free performance in the most demanding chemical applications

• Easy to assemble, heat is not required

• The audible and visible indicator allows users to verify the proper makeup of the connection

• Broad range of sizes and configurations: ¼" to 1¼" elbows, unions, reducers, caps, tees, minimum tube unions and adapters

• Expanded on a wide range of Entegris products: Chemlock® filter housings, NT pressure transducers, CR4 ¼" and CR8 ½" series valves.

Ideal for high purity, corrosive chemical handling, low surface tension chemistries and high-temperature applications.

FEATURE ADVANTAGE BENEFITEase of assembly • Audible and visual indication of proper

fitting makeup • Consistent and repeatable

fitting makeup

PFA wetted surfaces • Broad chemical compatibility • Simplifies product selection

Robust thread design • Three backup seals• No cross threading• Quick makeup• Increased sealing forces

• Consistent leak-free connection

Unique seal design • Nonwetted insert eliminates multiple entrapment, leak points and flow interruptions

• Improved process

Capable of 200°C (392°F) temperature rating

• Simplifies product selection • Lower design cost

No heat required to flare tubing • Reduces operator variability • Saves time and money

performance

The unique seal design removes the insert from the flow stream

• Reduces entrapment

• Reduces leak points

• Eliminates flow interruptions

Backup seal 2: Surface between ID oftube and OD of insert

Primary seal

Backup seal 1: Surface between insert and fitting nose

Backup seal 3: Surface between OD of tube

and inside of fitting body

Tube insert, nonwetted

PrimeLock Cross-sectionThree backup Seals

PrimeLock Cross-section 3 Backup Seals

PrimeLock ¼"- 1" fittings are compatible with high-temperature chemical processes:

• At temperatures up to 200°C at 40 psi

• At room temperatures it is rated to 120 psi

Pressure vs. Temperature Rating

frequently asked questionsWhen should I use PrimeLock fittings?PrimeLock fittings can be used in high-purity, corrosive chemical handling, semiconductor applications, solar, LED, flat panel display and with low surface tension chemistries. Also, used in high- temperature applications up to 200°C.

How can I find the appropriate fitting? You can generate fitting part numbers based on your specifications and search the extensive online catalog on: • The web at www.entegrisfluidhandling.com• The mobile selection tool at:

m.entegrisfluidhandling.com Scan QR Code

Fittings mobile selection tool

Page 16: Chemical Mechanical Planarization - Entegris · CMP or Chemical Mechanical Planarization in semiconductor and hard disk drive manufacturing environments continues to evolve and gain

«» CMP «» ENTEGRIS INC. ENTEGRIS INC. «» CMP «» 2726

MA

TERIALS M

AN

Ag

EMEN

T

FEATURE ADVANTAGE BENEFIT

Optimized 90° radius • Reduces total system pressure drop • Increases overall equipment lifetime

• Increases flow• Reduces fluid shear

• Improves yield

• Reduces dead volume • Improves yield by reducing large particles on wafers

• Decreases turbulence • Maintains chemical integrity

Flaretek® 90° Sweep Elbows

Flaretek® 90° elbow with optimized radius eliminates sharp corners to dramatically reduce pressure drop and increase flow. Manufactured from 100% virgin HP PFA material.

• No dead spots

• Increases flow rate in same footprint as standard elbows

• Decreases fluid turbulence for CMP chemical health

Optimized for high volume bulk chemical distribution and CMP slurry applications.

performance• 60% lower pressure drop through

the fitting compared to the standard 90° elbow

• Smallest footprint sweep available, making it practical for OEM tools

• Reduced footprint design saves assembly time

Achieve better results than standard 90° elbows

• Standard 90° elbow burst pressure: 644 psig (average)

• Sweep 90° elbow burst pressure: 825 psig (average)

Standard vs. Sweep Elbow

frequently asked questionsWhat fitting sizes and accessories are available?• Fitting sizes: ½", ¾" and 1"• Spacesaver or PureBond® connections available• FlareLock II, PVDF, PFA, CPFA nut available

What are the pressure and temperature ratings?Pressure and temperature ratings are the same as a standard 3⁄4" 90° elbow fitting. Visit www.entegrisfluidhandling.com for additional information.

How can I find the appropriate fitting?You can generate fitting part numbers based on your specifications and search the extensive online catalog on: • The web at www.entegrisfluidhandling.com• The mobile selection tool at:

m.entegrisfluidhandling.com

Flow Pattern Comparison Standard vs. Sweep Elbow at 5 GPM

¾" P/N USE12FN-3

2 x 2.20" 2 x 2.20"

Scan QR Code

Fittings mobile selection tool

Page 17: Chemical Mechanical Planarization - Entegris · CMP or Chemical Mechanical Planarization in semiconductor and hard disk drive manufacturing environments continues to evolve and gain

«» CMP «» ENTEGRIS INC. ENTEGRIS INC. «» CMP «» 2928

MA

TERIALS M

AN

Ag

EMEN

T

Broad range of tubing and pipe made from 100% virgin high-purity PFA material for highly corrosive, ultrapure applications.

• Tube flaring process provides leak-free connections and offers design flexibility

• PureBond weld process is ideal when permanent connections are required

• Non-intrusive, non-contaminating and permanent serialized laser-marking allows immediate material identification

• Standard wall tubing is available in 1⁄4", 3⁄8", 1⁄2", 3⁄4", 1", 11⁄4", 11⁄2" sizes

• Pipe is available in 1⁄4", 1⁄2", 3⁄4", 1", 2" sizes

• Pipe and tubing are available in different PFA grades

Optimized for bulk distribution, valve manifold boxes mainline, wet etch and clean applications: HF, H2O2, H2SO4, H3PO4, HNO3, MAE and chemical mechanical planarization.

frequently asked questions

performance

Tubing and Pipe

Can I use the standard 4200 material with surfactant?4200 should not be used with fluorinated surfactants.

Are all tubings available as coiled tubing?Yes, the standard straight wall tubing can be coiled customized.

Is a convoluted tubing available?Yes, available in ½", ¾" and 1" sizes.

How can I identify my installed tubing? Laser marking identifies the size, and traceability to a material lot number and production inspection record (except 0.030"wall).

FEATURE ADVANTAGE BENEFIT

PFA material of construction • Broad chemical compatibility• Long product life

• Lower cost of ownership through increased productivity and throughput

Long-term performance testing at various media temperatures

• Products can be used with confidence at maximum continuous rating

• Added safety• Lower cost of ownership through

increased manufacturing uptime

Laser marked tubing • Sure method of traceability • Accurate and easy identification in critical situations

Available in different PFA grades: 4200, 4300 and 4400 (HP plus)

• Customizable in different material quality requirements

• Exact grade of PFA can be selected to best fit the application

• Increased product performance and longer product life

Pressure vs. Temperature

300

250

200

150

100

50

0

1724

1379

1034

690

345

0

37.80

50 100 150 200 250 300 350 400

65.5 93.3 121.1 148.9 176.7 204.4Fluid Temperature (°C)

Fluid Temperature (°F)

Pres

sure

(PSI

G)

AT250-047

AT375-062

*1” and 11/4” ratings vary slightly. Please consult the factory for specific rating information.

AT500-062

AT1000-062,AT1250-075*

AT750-062

Page 18: Chemical Mechanical Planarization - Entegris · CMP or Chemical Mechanical Planarization in semiconductor and hard disk drive manufacturing environments continues to evolve and gain

«» CMP «» ENTEGRIS INC. ENTEGRIS INC. «» CMP «» 3130

MA

TERIALS M

AN

Ag

EMEN

T

FEATURE ADVANTAGE BENEFITModular design • Ease of assembly

• Easily configured into custom manifolds• Compact footprint

• Improves serviceability• Allows tool manufacturer

to optimize space

High-temperature capability • Solves variety of critical issues including photoresist stripping

• Improves yield

Vented actuator design • Able to withstand corrosive environments • Improves reliability• Extends product lifetime

Variety of end connections • Versatility and ease in system design • Improves cost of ownership

Available in 3-way configurations

• Three-ported directional valve design • Enables simplification of system design

CR4, CR8 and CH8 valves are next generation valves specifically designed for corrosive environments.

• Modular design – manifoldable

• Small footprint

• High-temperature capability

• High-purity corrosive chemical handling

CR4 is ideal for low flow wet etch and clean applications and high temperature corrosive chemical handling. CR8/CH8 is ideal for mid flow wet etch and clean, low volume bulk chemical delivery and high-temperature applications.

CR4, CR8 and CH8 Manual and Pneumatic Valves

performance• Sealed actuator with separate actuator vent

port

• Ability to vent the actuator through a separate vent line to a controlled environment

What configurations are available?• Pneumatic, toggle or multi-turn actuators• ¼"to ¾" port sizes• Flaretek, PureBond, Pillar S300 or PrimeLock

connections • Options for position indicators, restricted open

and close, LOTO etc.

Is there a 3-way CR valve available?Yes, it is available ¼"to ¾" pneumatically actuated.

Are all CR valves actuators manifoldable?Yes, all 2-way and 3-way valve types with all actuator configurations can be manifolded.

When should I choose CH8 vs. CR8?When temperature exceeds 130°C (226°F), CH8 should be selected. CH8 can support up to 180°C (365°F).

Why should I use CR4 instead of Sg4? • CR4 has no exposed metal hardware and will

be more resistant to corrosive environments. • Higher temperature capabilities compared to

SG4 series• Improve ease of field repairability • CR4 is a direct replacement for SG4

frequently asked questions

performance (continued)

CR4 CR8/CH8

Orifice 1⁄4" 1⁄2"

Media temperature range 21°C - 160°C CR8: 21°C - 130°C CH8: 21°C - 180°C

Inlet/outlet pressure rating at RT CR4: 80 psig / 40 psig CR4 HP: 80 psig

80 psig

Cv 0.29 - 0.84 2.4 - 3.4

Reliability Tested over 2.1 million cycles Tested over 4 million cycles

Page 19: Chemical Mechanical Planarization - Entegris · CMP or Chemical Mechanical Planarization in semiconductor and hard disk drive manufacturing environments continues to evolve and gain

«» CMP «» ENTEGRIS INC. ENTEGRIS INC. «» CMP «» 3332

PROCESS CO

NTRO

L

NT® electronic flowmeters are ideal for capturing critical diagnostic information for monitoring or controlling process applications.

• Provides simultaneous measurement of outlet pressure and flow rate

• No moving parts offers reduced particle generation potential

Optimized for use in ultra high purity applications in the semiconductor industry.

NT® Electronic Flowmeters, Model 4400

FEATURE ADVANTAGE BENEFITNo moving parts • No particle generation

• Provides repeatable and reliable measurements

• Yield improvement• Throughput improvement

Flow-through design • Minimizes dead volume • Contamination potential reduction

Integral pressure transducer • Additional process information supply • Process control enhancement

performance

80%

100%

60%

40%

20%

0%

20:1

turn

dow

n(E

flow

rang

e)

10:1

turn

dow

n(T

flow

rang

e)

% F

ull S

cale

Flo

w R

ate

(Sta

ndar

d flo

wm

eter

usi

ng d

eion

ized

wat

er)

Pressure Drop (bar)

Pressure Drop (psid)

0 0.15 0.30 0.45 0.60 0.75 0.90 1.05 1.20

0 2.0 4.0 6.0 8.0 10.0 12.0 14.0 16.0 18.0 20.0

Pressure drop vs. flow rate

What applications can be covered by the NT electronic flowmeters?NT electronic flowmeters are best used for monitoring or controlling process applications, such as:• Chemical, DI water and slurry dispense• Precision blending and metering• Totalized flow for custody transfer• System diagnostics

What types of sensor interfaces are available?CTFE or PFA.

frequently asked questions

Materials-wetted parts

Body: PTFESensor interface: PFA or CTFEPrimary seal: Kalrez®

Materials-nonwetted parts

Polypropylene, polyethylene, PVDF and PVC, or FEP-jacketed cable

Process temperature

10°C to 65°C (50°F to 149°F) Consult factory for higher temperatures

Electrical input

24 VDC (12-28 VDC input voltage)

Electrical output

Two 4-20 mA electrically isolated outputs, one for flow and one for outlet pressure

Process drop 3 psid at nominal flow, 10:1 turndown12 psid at nominal flow, 20:1 turndown

Operating pressure

0 to 60 psig (0 to 414 kPa)

Over-pressure limit

T100 psig (690 kPa)

Page 20: Chemical Mechanical Planarization - Entegris · CMP or Chemical Mechanical Planarization in semiconductor and hard disk drive manufacturing environments continues to evolve and gain

«» CMP «» ENTEGRIS INC. ENTEGRIS INC. «» CMP «» 3534

PROCESS CO

NTRO

L

NT® Integrated Flow Controllers, Models 6510 and 6520

FEATURE ADVANTAGE BENEFITPTFE and other high-purity fluoropolymer wetted surfaces

• Corrosion resistant • Maintains high purity process

Pressure output included • Eliminates needs for additional instrumentation

• Improves metrology • Improves process control• Lowers cost

Compact footprint • Easy for field installs and OEM designs with limited space

• Upgrades older equipment• Allows tool manufacturers to

optimize space

Discrete alarm output • Improved diagnostics • Increases tool uptime by improved systems troubleshooting

frequently asked questions

6510 6520

Sensor interface CTFE, PFA CTFE, PFA

Flow range 0-15 mL/min to 0-1250 mL/min 0-2.5 L/min to 0-40 L/min

Flow measurement accuracy

±1% full scale >20-100% of full scale flow range ±2.5% full scale 10-20% of full scale flow range

Repeatibility ±0.5% full scale >20-100% of full scale flow range ±1% full scale 10-20% of full scale flow range

Pressure measurement 0-414 Kpa (0-60 psig)

Pressure accuracy ±1% of full scale

Process temperature 10°C – 65°C (50°F -149°F)

Size 852 cm3 (smallest IFC on the market)

1744 cm3

NT® integrated flow controllers, models 6510 and 6520 are designed for critical dispense applications, chemical blending and on-demand chemical mixing applications.

• PTFE wetted surface for high-purity applications

• No moving parts offers reduced contamination potential

• Nonmetallic sensing technology based on differential pressure provides reliable measurement

• Compact design

NT model 6510 is ideal for aggressive chemical applications where accurate dispense or dosing is needed.NT model 6520 is ideal for high-flow applications such as bulk delivery or tool liquid dispense.

performance

Enhancements to valve motor and diaphragm provide improved flow control, added safety and contamination protection.

What are the NT integrated flow controller requirements?• Line pressure must be at least 10 psig• Sizing for flow control: target flow (nominal flow)

should be within 35 - 90% of a flow controller's flow range

• Maximum working pressure: 60 psig

Do bubbles affect pressure/flow measurement?No, NT Integrated flow controllers combine a differential pressure-based flowmeter and a leading edge control valve to create a closed loop flow controller.

What types of sensor interfaces are available?CTFE, PFA or CTFE (hydrofluoric acid compatible).

How do I choose the right interface for my application?• CTFE should be used for most aqueous chemical

solutions and select solvents• PFA should be used for solvents, select chemicals

and process temperatures above 40°C• CTFE (Hydrofluoric acid compatible) should be used

for HF applicationsPlease refer to chemical compatibility chart for sensing and control products: http://www.entegris.com/Resources/assets/3960-2602-0912.pdf

Page 21: Chemical Mechanical Planarization - Entegris · CMP or Chemical Mechanical Planarization in semiconductor and hard disk drive manufacturing environments continues to evolve and gain

«» CMP «» ENTEGRIS INC. ENTEGRIS INC. «» CMP «» 3736

PROCESS CO

NTRO

L

The NT® control module is an integrated display and fully programmable process controller that allows the user to fine-tune control parameters, display settings and alarm set points

• PID control

• Fully programmable

• Compact design

• Output alarms and local display

• Deviation indication

• Keypad or external set point input

• Programmable alarms and alarm delays

Optimized to meet flow control needs for chemical and slurry dispense.

NT® Control Modules

FEATURE ADVANTAGE BENEFITFully programmable • PID control parameters selection

• Settings and alarm set-points display• Process optimization

Peristaltic pump controller functionnality when used with a NT Electronic Flowmeter

• Closed-loop flow control system• Fluid flow maintenance at hte desired set

point

• No peristaltic pump calibrations• Chemical and Di water usage

reduction

Alarming display functionnality when used with an NT Integrated Flow Controller

• Alarm on the difference between actual flow and set point

• Constant flow rates maintenance

performance

What is the function of the NT control module as an alarming display?NT control modules may be used as an alarming display for NT integrated flow controllers or similar set point driven devices.

What are the major advantages of an NT control module?NT control module successfully improves process, reduces costs and increases uptime.

frequently asked questions

Accuracy ±0.2% full scale, plus 1 digit

Temperature (operating) 0°C to 50°C (32°F to 122°F)

NT® Control Module

Peristaltic Pump

Control signaloutput

Flow

Sig

nal

Flow

Set point inputfrom process

Peristaltic Pump Control

NT® Electronic Flowmeter

Example Application

Page 22: Chemical Mechanical Planarization - Entegris · CMP or Chemical Mechanical Planarization in semiconductor and hard disk drive manufacturing environments continues to evolve and gain

ENTEGRIS INC. «» CMP «» 39

PROCESS CO

NTRO

L

« » CMP «» ENTEGRIS INC. 38

NT® pressure transducers provide accurate and reliable inert pressure measurements, and measure gas or liquid pressure, allowing you to monitor process conditions for increased safety and system performance.

• No moving parts or fill fluids help reduce contamination potential

• Nonmetallic sensing technology provides reliable measurement

• Compact design

• Dymension manifold mountable

Optimized for aggressive chemical applications.

NT® Pressure Transducers

FEATURE ADVANTAGE BENEFITAll wetted parts are constructed of PTFE, sapphire and other high-purity polymers for corrosion resistance

• Compatible with typical semiconductor process chemistries

• Improves yields by increasing particle performance

Provides compatibility and easy integration with electronic displays and monitoring systems

• Enables tool interaction with pressure transducer and offers increased accuracy in pressure measurements

• Increases overall equipment efficiency

Single port and flow through styles offered with industry standard Flaretek and Super 300 Type Pillar® fittings

• Compact design enables easy installation

• Improves system design flexibility

No moving parts or fill fluids • Reduces contamination potential • Increases tool uptime

performance• Provides reliable pressure

measurement where manual gauges only provide approximate pressure results

• Pressure transducers can help determine filter lifetime where critical contamination control is needed

frequently asked questionsWhere can I use NT pressure transducers?• System diagnostics• System pressure monitoring for tool queuing• Pressure at chemical distribution modules, valve

manifold boxes and point-of-use• Differential pressure in filtration systems• Integrated into custom valve manifolds

Can NT pressure transducers, model 4100 be used in high-temperature applications?Yes, contact Entegris for more information.

How long can model 4150 and 4250 survive 49% Hydrofluoric acid (HF) at 23°C?Estimated 10 years.

How do I choose the right interface material for my application on pressure transducers, model 4100 and 4210?• CTFE should be used for most aqueous chemical

solutions and select solvents• PFA should be used for solvents, select chemicals

and process temperatures above 40°C.• For hydrofluoric acid applications, contact Entegris

for more information.Please refer to chemical compatibility chart for sensing and control products:http://www.entegris.com/Resources/assets/3960-2602-0912.pdf

Monitor Pre-, Post- and Differential Pressure

NT Model Pressure Transducer(for inlet pressure)

NT Model Pressure Transducer(for outlet pressure)

NT Dual Channel Display

Filter Housing

Flow

Differential pressure set-point for filter replacement

Monitoring Differential Pressure To Schedule Filter Replacement

Page 23: Chemical Mechanical Planarization - Entegris · CMP or Chemical Mechanical Planarization in semiconductor and hard disk drive manufacturing environments continues to evolve and gain

«» CMP «» ENTEGRIS INC. ENTEGRIS INC. «» CMP «» 4140

PROCESS CO

NTRO

L

CR-288® Concentration Monitors

FEATURE ADVANTAGE BENEFIT288-connect software package • Real-time information

• Integrated data collection and analysis• Real-time concentration and

temperature-compensated data

• Reduce process intrusion or interruption

• Provide convenient and scalable data interface and acquisition options

• Improve productivity

SEMI Standard for cleanliness, testing and calibration

• Optical sensor system• Sensors laser-marked• Factory leak-tested• Sensor calibration table

• Provide ultra high-purity fluid system components

• Provide traceability• Meet the allowable leak rate set by

SEMI Standard• Optimize performance and improve

resolution for most liquids

LCD digital display unit (DDU) • Configurable 5-volt digital I/O channels and up to for measurement points

• Optimize the measurement of concentration and temperature

For which applications can I use the CR-288 concentration monitor?Process monitoring for inline liquid chemical applications.

What is the interface of the connection?Customers can select Flaretek, Super 300 Type Pillar and PrimeLock end connections; other end connections available upon request.

Can the sensor monitor slurries?The sensor uses refractive index technology to monitor chemical concentration and is unaffected by light dispersion through the slurry. It is able to monitor in the presence of particulates and bubbles.

frequently asked questions

Entegris CR-288® Concentration Monitor and 288-connect® software package delivers real-time information for point-of-use chemical mixing/blending, spiking and dilution without process intrusion or interruption.

• Integrated data collection, analysis and field calibration

• Improvement on productivity

• Compliant to SEMI Standard F57-0301 for cleanliness, testing and calibration

Optimized for inline liquid chemical applications.

performance

The following performance data is based on operation within the calibrated range, ±10% of the refractive index range.

Criteria Value

Refractive index accuracy (Refractive Index Units, RIU): ±5 × 10-5

Refractive index repeatability: 2.5 × 10-5

Refractive index resolution: 1.0 × 10-5

Concentration accuracy: ±0.05 wt%*

Concentration repeatability: ±0.025 wt%

Concentration resolution: Chemical dependent 0.01 wt% or better

Temperature accuracy: ±0.2°C (0.4°F)

Temperature repeatability: 0.1°C (0.2°F)

Temperature resolution: 30.05°C (0.06°F)

Response time: 1.2 sec standard, no rolling averaging enabled,rolling averaging is user-configurable

* Based on measuring semiconductor-grade chemicals, such as hydrogen peroxide, in slurries at typical environmental parameters of 25-35 psi with a maximum variation of ±0.25 psi, and temperature of 18°C – 26°C (64.4°F – 78.8°F) with maximum variation of ±0.1°C (0.2°F).

Page 24: Chemical Mechanical Planarization - Entegris · CMP or Chemical Mechanical Planarization in semiconductor and hard disk drive manufacturing environments continues to evolve and gain

ENTEGRIS INC. «» CMP «» 43

PROCESS CO

NTRO

L

« » CMP «» ENTEGRIS INC. 42

The cryogenic cleaning process consists in projecting CO2 pellets. As the CO2 sublimates, it mechanically removes contaminates from the surface.

Cryogenic cleaning acts efficiently for the following applications

• Stripping

• Remaining burrs

• Cleaning technology

• Decontaminating the organic elements

• Removing grease on all materials

Optimized for CMP part cleaning.

Cleaning Part Services

FEATURE ADVANTAGE BENEFITUnique process • Non-abrasive • Longer part life time

• No contamination from the part to the processSandblasting alternative solution

Detergent, chemical and solvent free

• Environmentally friendly• Green treatment, no toxic waste

• No chemical elements on the part• No crosscontamination risks• No interaction with processDry cleaning

Equipment parameter hard/soft adjustment (pressure, distance, power, grid size…)

• Repeatable process • Reliable process• Parts conformity systematically

maintained

performanceCryogenic decontamination experiment on a CMP equipment blade

• Goal: Remove slurry from a part for immediate use on a machine

• Result: The blade is completely cleaned of slurry and all organic residues. An additional step of precision cleaning can be done in order to remove all particles.

• Benefit: The cleaned part is in perfect shape (no trace, no abrasion, no slurry) and ready for use.

What materials can be treated by cryogenic cleaning?Cryogenic cleaning is a dry and non-abrasive process. All materials can be cleaned without damage.For example, plexiglas and polished aluminum canbe treated without the surface becoming dull or scratched.

frequently asked questions

Before cleaning After cleaning

Area contaminated by organic contamination

Page 25: Chemical Mechanical Planarization - Entegris · CMP or Chemical Mechanical Planarization in semiconductor and hard disk drive manufacturing environments continues to evolve and gain

Entegris®, the Entegris Rings Design®, creating a material advantage®, Planargard®, Planarcap®, Solaris®, Chemlock®, Connectology®, Planarcore®, Planargem®, PrimeLock®, CR-288®, 288-connect®, Flaretek®, FlareLock®, Spectra™, Clarilite®, STAT-PRO®, PureBond®, NT®, and Mykrolis® are trademarks of Entegris, Inc. | AMAT™ is a trademark of Applied Materials, Inc. | Ebara® is a trademark of Ebara Corporation | Ontrak® is a trademark of Lam Research Corporation | Teflon® is a registered trademark of E. I. du Pont de Nemours and Company | Pillar® is a registered trademark of Nippon Pillar Packing Company, Ltd. | PEEK™ is a trademark of Victrex PLC | Kalrez® is a registered trademark of DuPont Dow Elastomers, L.L.C. | SEMI® is a registered trademark of Semiconductor Equipment and Materials International Corporation.

Page 26: Chemical Mechanical Planarization - Entegris · CMP or Chemical Mechanical Planarization in semiconductor and hard disk drive manufacturing environments continues to evolve and gain

NORTH AMERICA

Massachusetts Entegris, Inc. Corporate Headquarters 129 Concord Road Billerica, MA 01821 USA Tel. +1 978 436 6500 Fax +1 978 436 6735

MinnesotaEntegris, Inc. 101 Peavey Road Chaska, MN 55318 USA Tel. +1 952 556 3131 Fax +1 952 556 1880

Customer Service Tel. +1 952 556 4181 Tel. 800-394-4083 (toll-free within

North America)Fax +1 952 556 8022 Fax 800 763 5820 (toll-free within

North America)

EUROPE

FranceCustomer Service Center for Southern, Western and Northern Europe Entegris S.A.S. Parc Centr’ Alp Ouest 196 rue du Rocher de Lorzier 38430 Moirans France Tel. +33 (0) 4 76 35 73 50 Fax +33 (0) 4 76 35 73 80

germanyCustomer Service Centers for Eastern and Central Europe Entegris GmbH Hugo-Junkers-Ring 5 Gebäude 107/W Industriegebiet Klotzsche 01109 Dresden Germany Tel. +49 (0) 351 795 97 0Fax +49 (0) 351 795 97 499

IsraelEntegris Israel Limited Izmargad Street 12 Kiryat-Gat Israel Tel. +972 (0) 73 221 00 00 Fax +972 (0) 73 221 00 22

JAPAN

Nihon Entegris K.K. Regional Headquarters Mita-Kokusai Bldg. 1-4-28 Mita, Minato-Ku Tokyo, Japan 108-0073 Tel. +81 3 5442 9718 Fax +81 3 5442 9738

Nihon Entegris K.K. Shin-Osaka Prime Tower 6-1-1, Nishinakajima Yodogawa-Ku Osaka, Japan 532-0011 Tel. +81 6 6390 0594 Fax +81 6 6390 3110

Nihon Entegris K.K. Hakataekihigashi 113 Bldg. 1-13-9 Hakataekihigashi Hakata-Ku Fukuoka, Japan 812-0013 Tel. +81 92 471 8133 Fax +81 92 471 8134

ASIA/PACIFIC

ChinaEntegris (Shanghai) Microelec-tronics Trading Co., Ltd. Unit 606-609, Tower 1 German Centre No. 88, Ke Yuan Road Zhangjiang Hi-Tech Park Shanghai 201203 P.R. of China Tel. +86 21 2898 6710 Fax +86 21 5080 5598

ASIA/PACIFIC

ChinaEntegris (Shanghai) Microelec-tronics Trading Co., Ltd. Rm. 878, Poly PlazaNo.14 Dong Zhi Men South Ave. Dongcheng DistrictBeijing 100027P.R. of ChinaTel. +86 21 6552 8840Fax +86 21 6552 8840

Entegris (Shanghai) Microelec-tronics Trading Co., Ltd. Room 21510, Tower C Shangpin International No.88 Gao Xin Road, Hi-Tech Area Xi'an 710065P.R. of ChinaTel. +86 29 886 00785Fax +86 29 886 00785

KoreaEntegris Korea, Ltd. 4F, 6F and 8F, Seongok Bldg.262 Hwangsaewool-ro Bundang-gu Seongnam-si, Kyunggi-do Korea 463825 Tel. +82 31 738 5300 Fax +82 31 738 5305

MalaysiaEntegris (Malaysia) Sdn. Bhd. Unit 14 and 15, Lower Level 5 (Executive Wing), Hotel Equatorial No. 1 Jalan Bukit Jambul 11900 Bayan Lepas, Penang MalaysiaTel. +60 4 427 4200Fax +60 4 641 3294

SingaporeEntegris Singapore Pte Ltd. 31 Kaki Bukit Road 3 Techlink, #06-08/11 Singapore 417818 Tel. +65 6745 2422 Fax +65 6745 4477

TaiwanEntegris Asia LLC, Taiwan Branch 14F, No. 120, Sec. 2 Gong Dao Wu Road East Dist., Hsinchu City 30072 Taiwan R.O.C. Tel. +886 3 571 0178 Fax +886 3 572 9520

Entegris Asia LLC, Taiwan Branch3F, Rm. 313-314, No.6Zhongxin Road, Xinshi Dist. Tainan City 74148 Taiwan (R.O.C.)Tel. +886 6 589 6008 Fax +886 6 501 3799

Entegris regional customer service centersREgION TELEPHONE FAXNorth America 800 394 4083 800 763 5820Germany +49 (0) 351 795 97 0 +49 (0) 351 795 97 499France +33 (0) 4 76 35 73 50 +33 (0) 4 76 35 73 80United Kingdom +33 476 357 354 +33 476 357 380Italy +33 476 357 352 +33 476 357 380Israel +972 (0) 73 221 00 00 +972 (0) 73 221 00 22Japan +81 3 5442 9718 +81 3 5442 9738Malaysia +60 4 427 4200 +60 4 641 3294Korea +82 31 738 5300 +82 31 738 5305Taiwan +886 3 571 0178 +886 3 572 9520Singapore +65 6745 2422 +65 6745 4477China +86 21 2898 6710 +86 21 5080 5598

Page 27: Chemical Mechanical Planarization - Entegris · CMP or Chemical Mechanical Planarization in semiconductor and hard disk drive manufacturing environments continues to evolve and gain

Chemical Mechanical Planarization

ENTEGRIS, INC. Corporate Headquarters | 129 Concord Road | Billerica, MA 01821 USATel. +1 978 436 6500 | Fax +1 978 436 6735 www.entegris.com

©2013 Entegris, Inc. All rights reserved 9000-7433ENT-1113