chemical etching of thermally oxidized silicon nitride: comparison of wet and dry etching methods

6
J. Electrochem. Soc., Vol. 138, No. 5, May 1991 9 The Electrochemical Society, Inc. 1389 fer from hydrogen to copper (Fig. 10B) gaining in the total reduction of Cu at the Si surface. A Si--Cu bond is formed leaving a hydrogen molecule and a weakened copper- ammonia bond. In a next step an adjacent hydrogen atom on the surface can attack the still positively polarized Cu atom. Until now Cu is the only metal we could incorporate into silicon by this mechanism. Analogous experiments carried out using slurries containing Ni, Fe, Ag, and Pd ions, did not lead to any measurable acceptor compensation. This may be explained by the fact that copper is the only ele- ment to form positively charged square complexes. Acknowledgments We are grateful to M. Grundner for SPV, XPS, and ELS measurements, to P. Wagner, J. Hage, and H. Ffihrer for IR and SIMS measurements, and H. Pahlke for NAA analysis and all of them for useful discussions. Manuscript submitted May 18, 1990; revised manuscript received Dec. 20, 1990. Wacker-Chemitronic GmbH assisted in meeting the pub- lication costs of this article. REFERENCES 1. (a) F. G. Vieweg-Gutberlet and R. F. Siegesleitner, in "Semiconductor Silicon 1977," H.R. Huff and E. Sirtl, Editors, PV 77-2, p. 387, The Electrochemical Society Softbound Proceedings Series, Princeton, NJ (1977); (b) F.G. Viewag-Gutberlet and R.F. Siegesleitner, This Journal~ 126, 1792 (1979). 2. A. Schnegg, H. Prigge, M. Grundner, P. O. Hahn, and H. Jacob, Mat. Res. Soc. Symp. Proc., 104, 291 (1988). 3. A. Schnegg, M. Grundner, and H. Jacob, in "Semicon- ductor Silicon 1986," H. R. Huff, T. Abe, and B. Kol- besen, Editors, PV 86-4, p. 198, The Electrochemical Society Softbound Proceedings Series, Pennington, NJ (1986). 4. H. Prigge, P. Gerlach, P. O. Hahn, and A. Schnegg, Ab- stract 248, p. 372, The Electrochemical Society Ex- tended Abstracts, Vol. 89-1, Los Angeles, CA, May 7-12, 1989. 5. T. Zundel, J. Weber, B. Benson, P.O. Hahn, A. Schnegg, and H. Prigge, Appl. Phys. Lett., 53, 1426 (1988). 6. T. Zundel, J. Weber, P. O. Hahn, A. Schnegg, and H. Prigge, 15th Int. Conf. Semiconductors, Budapest, In press. 7. M. Deicher, G. Grfibel, R. Keller, E. Recknagel, Th. Wiechert, H. Prigge, and A. Schnegg, Inst. Phys. Conf. Set., 95, 155 (1988). 8. T. Prescha, T. Zundel, J. Weber, H. Prigge, and P. Ger- lach, Europ. Met. Res. Soc. Symp. Proc., 9, 79 (1989). 9. U. Reisl6hner, S. Deubler, P. Dohlus, D. Forkel, J. Meier, H. Wolf, W. Witthuhn, and H. Prigge, Proc. Int. Conf. Sci. Technol., To be published. 10. J. Hage, H. Prigge, and P. Wagner, Appl. Phys., To be published. 11. E. Mendel, Solid State Technol., 10, 27 (1967). 12. T. Karaki, S. Miyake, and J. Watanabe, Bull. Jpn. Soc. Prec. Eng., 12(4), 207, 208, 215 (1978). 13. J. Reichel and S. Sevcik, Phys. Status Solidi, A103, 413 (1987). Chemical Etching of Thermally Oxidized Silicon Nitride: Comparison of Wet and Dry Etching Methods Lee M. Loewenstein and Charlotte M. Tipton Texas Instruments Incorporated, Semiconductor Process and Design Center, Dallas, Texas 75265 ABSTRACT The ability to etch silicon nitride changes after this material is exposed to a wet oxygen ambient, as a result of the par- tial oxidation of the silicon nitride to form a silicon oxynitride. We have measured the etch rate of silicon nitride exposed to different oxidation temperatures and pressures, to determine how these parameters affect the mask removal step needed in the local oxidation of silicon-based processing sequence. Both wet (hydrofluoric acid and phosphoric acid) and dry (SF6-based remote plasma) isotropic etch methods are described, and correlated to Rutherford backscattering and ellipsometric measurements. Our results show the presence of an oxidized layer which increased in thickness with oxida- tion temperature and pressure. Process modifications must comprehend the altered silicon nitride surface iri order to ade- quately strip this film. The use of Si3N4 as a masking material for local oxida- tion of Si is commonly employed during electronic mem- ory device manufacture (1). The technique involves using a thin oxide layer, or "pad" oxide, beneath the Si3N4 mask as an etch stop when removing the mask. A polysilicon layer is sometimes placed between the SiO2 and Si3N4 films. As geometries shrink, pad oxide thicknesses are driven thin- ner so as to minimize encroachment of the newly grown oxide into desired masked regions, the "bird's beak" phe- nomenon (2). Thinner pad oxides require higher Si3N4:SiO2 etch selectivities to remove the Si3N4 masks without damaging the underlying Si. The use of higher se- lectivity etches also requires a greater understanding of the effects of surface oxidation of Si3N4 films during oxida- tion processing. This paper will compare wet and dry etch- ing techniques for removal of Si3N4 films. Furthermore, due to issues such as the bird's peak phenomenon which effect device performance and consequently determine the choice of oxidation conditions, a variety of oxidation conditions are examined. Wet chemical etching of Si3N4 using a bath of H3PO4 is standard in Si wafer processing (3). A substantial overetch may be performed to ensure complete Si3N4 removal, as the process is highly selective to SiO2. Table I contains etch rates of Si3N4 and SiO2 measured in our laboratory. Since the surface of the Si3N4.is oxid~,zed during the forma- tion of an isolation oxide, removal of the Si3N4 must actu- ally begin with an HF etch or "deglaze" to remove SiO~ and mixed SiOxN,. The wet etching of SiOxN~ formed by chemical vapor deposition (CVD) (4) and plasma-enhanced CVD (5) have been described previously. The strategy in device fabrication of using a poly-Si layer between SiO2 and Si3N4 films, however, often leads to problems in removing the Si3N4 due to inconsistent action of the hot H3PO4 solution on the poly-Si. Inadequate re- Table I. Material etch rates for 5% HF, 0.5% HF, and H3PO 4. Material =Etch rates (/~Jmin) 5% HF 0.5% HF H3PO4 Si3N4 8 -- 50 SiO2 293 -+ 73 7() -+ 17 <I ) unless CC License in place (see abstract). ecsdl.org/site/terms_use address. Redistribution subject to ECS terms of use (see 128.120.175.166 Downloaded on 2014-05-11 to IP

Upload: lee-m

Post on 25-Dec-2016

214 views

Category:

Documents


2 download

TRANSCRIPT

J. Electrochem. Soc., Vol. 138, No. 5, May 1991 �9 The Electrochemical Society, Inc. 1389

fer from hydrogen to copper (Fig. 10B) gaining in the total reduction of Cu at the Si surface. A Si--Cu bond is formed leaving a hydrogen molecule and a weakened copper- ammonia bond. In a next step an adjacent hydrogen atom on the surface can attack the still positively polarized Cu atom.

Until now Cu is the only metal we could incorporate into silicon by this mechanism. Analogous experiments carried out using slurries containing Ni, Fe, Ag, and Pd ions, did not lead to any measurable acceptor compensation. This may be explained by the fact that copper is the only ele- ment to form positively charged square complexes.

Acknowledgments We are grateful to M. Grundner for SPV, XPS, and ELS

measurements, to P. Wagner, J. Hage, and H. Ffihrer for IR and SIMS measurements, and H. Pahlke for NAA analysis and all of them for useful discussions.

Manuscript submit ted May 18, 1990; revised manuscript received Dec. 20, 1990.

Wacker-Chemitronic GmbH assisted in meeting the pub- lication costs of this article.

REFERENCES 1. (a) F. G. Vieweg-Gutberlet and R. F. Siegesleitner, in

"Semiconductor Silicon 1977," H.R. Huff and E. Sirtl, Editors, PV 77-2, p. 387, The Electrochemical Society Softbound Proceedings Series, Princeton, NJ (1977); (b) F .G. Viewag-Gutberlet and R.F. Siegesleitner, This Journal~ 126, 1792 (1979).

2. A. Schnegg, H. Prigge, M. Grundner, P. O. Hahn, and H. Jacob, Mat. Res. Soc. Symp. Proc., 104, 291 (1988).

3. A. Schnegg, M. Grundner, and H. Jacob, in "Semicon- ductor Silicon 1986," H. R. Huff, T. Abe, and B. Kol- besen, Editors, PV 86-4, p. 198, The Electrochemical Society Softbound Proceedings Series, Pennington, NJ (1986).

4. H. Prigge, P. Gerlach, P. O. Hahn, and A. Schnegg, Ab- stract 248, p. 372, The Electrochemical Society Ex- tended Abstracts, Vol. 89-1, Los Angeles, CA, May 7-12, 1989.

5. T. Zundel, J. Weber, B. Benson, P.O. Hahn, A. Schnegg, and H. Prigge, Appl. Phys. Lett., 53, 1426 (1988).

6. T. Zundel, J. Weber, P. O. Hahn, A. Schnegg, and H. Prigge, 15th Int. Conf. Semiconductors, Budapest, In press.

7. M. Deicher, G. Grfibel, R. Keller, E. Recknagel, Th. Wiechert, H. Prigge, and A. Schnegg, Inst. Phys. Conf. Set., 95, 155 (1988).

8. T. Prescha, T. Zundel, J. Weber, H. Prigge, and P. Ger- lach, Europ. Met. Res. Soc. Symp. Proc., 9, 79 (1989).

9. U. Reisl6hner, S. Deubler, P. Dohlus, D. Forkel, J. Meier, H. Wolf, W. Witthuhn, and H. Prigge, Proc. Int. Conf. Sci. Technol., To be published.

10. J. Hage, H. Prigge, and P. Wagner, Appl. Phys., To be published.

11. E. Mendel, Solid State Technol., 10, 27 (1967). 12. T. Karaki, S. Miyake, and J. Watanabe, Bull. Jpn. Soc.

Prec. Eng., 12(4), 207, 208, 215 (1978). 13. J. Reichel and S. Sevcik, Phys. Status Solidi, A103, 413

(1987).

Chemical Etching of Thermally Oxidized Silicon Nitride: Comparison of Wet and Dry Etching Methods

Lee M. Loewenstein and Charlotte M. Tipton

Texas Instruments Incorporated, Semiconductor Process and Design Center, Dallas, Texas 75265

ABSTRACT

The ability to etch silicon nitride changes after this material is exposed to a wet oxygen ambient, as a result of the par- tial oxidation of the silicon nitride to form a silicon oxynitride. We have measured the etch rate of silicon nitride exposed to different oxidation temperatures and pressures, to determine how these parameters affect the mask removal step needed in the local oxidation of silicon-based processing sequence. Both wet (hydrofluoric acid and phosphoric acid) and dry (SF6-based remote plasma) isotropic etch methods are described, and correlated to Rutherford backscattering and ellipsometric measurements. Our results show the presence of an oxidized layer which increased in thickness with oxida- tion temperature and pressure. Process modifications must comprehend the altered silicon nitride surface iri order to ade- quately strip this film.

The use of Si3N4 as a masking material for local oxida- tion of Si is commonly employed during electronic mem- ory device manufacture (1). The technique involves using a thin oxide layer, or "pad" oxide, beneath the Si3N4 mask as an etch stop when removing the mask. A polysilicon layer is sometimes placed between the SiO2 and Si3N4 films. As geometries shrink, pad oxide thicknesses are driven thin- ner so as to minimize encroachment of the newly grown oxide into desired masked regions, the "bird's beak" phe- nomenon (2) . Thinner pad oxides require higher Si3N4:SiO2 etch selectivities to remove the Si3N4 masks without damaging the underlying Si. The use of higher se- lectivity etches also requires a greater unders tanding of the effects of surface oxidation of Si3N4 films during oxida- tion processing. This paper will compare wet and dry etch- ing techniques for removal of Si3N4 films. Furthermore, due to issues such as the bird's peak phenomenon which effect device performance and consequently determine the choice of oxidation conditions, a variety of oxidation conditions are examined.

Wet chemical etching of Si3N4 using a bath of H3PO4 is standard in Si wafer processing (3). A substantial overetch

may be performed to ensure complete Si3N4 removal, as the process is highly selective to SiO2. Table I contains etch rates of Si3N4 and SiO2 measured in our laboratory. Since the surface of the Si3N4.is oxid~,zed during the forma- tion of an isolation oxide, removal of the Si3N4 must actu- ally begin with an HF etch or "deglaze" to remove SiO~ and mixed SiOxN,. The wet etching of SiOxN~ formed by chemical vapor deposition (CVD) (4) and plasma-enhanced CVD (5) have been described previously.

The strategy in device fabrication of using a poly-Si layer between SiO2 and Si3N4 films, however, often leads to problems in removing the Si3N4 due to inconsistent action of the hot H3PO4 solution on the poly-Si. Inadequate re-

Table I. Material etch rates for 5% HF, 0.5% HF, and H3PO 4.

Material =Etch rates (/~Jmin) 5% HF 0.5% HF H3PO4

Si3N4 8 -- 50 SiO2 293 -+ 73 7() -+ 17 <I

) unless CC License in place (see abstract).  ecsdl.org/site/terms_use address. Redistribution subject to ECS terms of use (see 128.120.175.166Downloaded on 2014-05-11 to IP

1390 J. Electrochem. Soc., Vol. 138, No, 5, May 1991 �9 The Electrochemical Society, Inc.

Table II. Time to grow 8000 ~ Si02fllms.

T (~ P (atm) Time (min)

850 1 1107 975 1 266 850 10 105 975 10 23 850 25 49 975 25 16

moval of the nitride layer sometimes results in the scrap- ping of wafer lots. The use of this poly-Si layer requires an additional etch step for its removal. This is typically a dry etch step, using equipment that today is quite expensive. While the current study does not concern itself with struc- tures incorporating the poly-Si layer, the processing meth- ods described here do relate to this issue.

The dry method of removing the SiO=N~, Si3N4, and which is, incidentally, also capable of removing the poly- Si, depends on the use of a downstream or remote micro- wave plasma system (6). The etch selectivities of one mate- rial to another may be altered by adjusting the wafer tem- perature as well as the gas composition. This has been shown for SiO2 etching with respect to Si (6), and Si3N4 with respect to SiO2 (7, 8). Since the wafer does not lie on an electrode, and the discharge region is, in fact, substan- tially removed from the process chamber, there should be minimal damage to the substrate either by ions or by radia- tion. In addition, processes performed in remote plasma reactors are isotropic, and thus may directly substitute for wet stripping processes. The in situ etching of plasma- enhanced CVD-deposited SiO=Ny has been previously de- scribed (5).

Experimental 2400/~ of Si3N4 was grown by low pressure chemical

vapor deposit ion (LPCVD). The refractive index of the Si3N4 grown was 1.99. Combinations of temperature (875 and 975~ and pressure (1, 10, and 25 atm) were used to produce SiO=N~ of varying composit ion and thickness. The gas source was pyrogenically made steam? The oxida- tion t imes were varied for the different process conditions so that 8000/k of thermal SiO2 always would be grown by the oxidation of Si<100> under those conditions. 2 These t imes are shown in Table II.

We carried out the 1 atm process as follows: wafers were loaded in Ar at 850 or 975~ at a rate of 25 cm/min. We al- lowed the wafer temperature to stabilize for 10 min in Ar. We then saturated the furnace in O2 for 10 min. We added pyrogenic steam for the length of t ime noted in Table II, following which the H2 and O2 were shut off. There was a 10 min Ar purge before the wafers were pulled from the furnace.

We carried out the high pressure oxidations as follows: wafers were loaded at 750~ in N 2 at 30 cm/min into a Ga- Sonics Hipox reactor. There was a 10 min temperature sta- bilization period in N2. We ramped in N 2 to 975~ at a rate of 10~ Still at 1 atm, we exposed the wafers to 5 min of O2, followed by 5 min of pyrogenic steam. We pressur- ized the reaction vessel with steam, ramping to the final pressure at a rate of 20-25 psi/min. We depressurized and ramped down the temperature to 750~ in N2 at a rate of 4~ The t imes recorded in Table I were measured from the start of the pressure ramp, through the high pres- sure oxidation, and depressurization. At the conclusion, we pulled the wafers out in an N2 ambient.

The wet etching consisted of etch procedures using ei- ther 5% HF or 0.5% buffered HF aqueous solution at 20~ to strip the oxynitr ide layer, followed by boiling H2PO4 at approximately 160~ to etch the underlying SigN4. The buf-

For the 1 atm oxidation, we formed pyrogenic steam by com- busting H2 and O2 in a ratio of 1.8:1. For the h igh pressure oxida- tions, pyrogenic steam was formed using a mixture of H2 and O2 in a ratio of 1.9:1.

2 We chose a constant thickness of oxide to be formed rather than a constant oxidation time, because we expect a specific oxide thickness of 8000 A (or a similar number) to be required during ac- tual integrated circuit manufacturing.

Wa~r Cassette''

Load...... ..-r Lock

Bellows

Qua~z Tube

TO Pump

~ [ate ~,.,.I~ Wafer ~ . ~ Process ~.~"~ Chamber

I | Microwave

~ a v i / ~ ty Microwave ;o~

~ Gases In

To i~oots Blower

Fig. 1. Schematic of the microwave-based remote plasma reactor.

fering agent for the 0.5% HF solution was 40% NH,F. The HF chemistries were run in stagnant immersion baths, while the H3PO4 was run in a commercial ly available, re- flux heated quartz bath (Imtec, Model QNl l0) with contin- uous deionized (DI) water addition capability. The system used a quartz tank with Iconel heaters bonded to the exte- rior of the quartz walls for heating. An inverted quartz tank with Teflon cooling coils mounted on the interior served as the reflux lid. Ambient temperature DI water flowed through the coils at a rate of approximately 1 gpm. DI water was added to the hot acid bath through a manifold in the top of the lid at a rate of 2.5 ml/min. All wafers were rinsed in DI water following the acid treatments and spin- rinse dried.

The dry etching method used a microwave-based remote plasma reactor constructed at Texas Instruments. It is shown schematically in Fig. 1. Microwave radiation at 2.45 GHz with a power of 600 W produced a discharge in the gas within a 2.54 cm od quartz tube passing through the rectangular microwave cavity. The gas flow was con- trolled by a series of mass flow controllers. Upon entering the process chamber, the quartz tube made a right-angle bend. Gases were also introduced into the chamber, by- passing the discharge, by means of an additional gas intro- duction port entering the reaction chamber directly. A 6 mm od quartz ring assisted the uniform distribution of this gas within the chamber. The gases used included SF6 (45 sccm) and N2 (1500 sccm), which passed through the discharge, and H2 (25 sccm), which bypassed the dis- charge. This gas chemistry is known to etch thermal Si3N4 with reasonable selectivity to SiO2 (5). The 100 mm diam Si wafers were clamped by three quartz pins to a resistively heated block. The wafer lay perpendicular to the flow, so that stagnation flow was obtained (9). Gas flow was thus from the center of the wafer to the edge. Pumpout was per- formed uniformly around the wafer periphery, using a Roots blower backed by a mechanical pump. The pressure (2.0 Torr) was measured and set with an MKS Baratron (0-10 Torr) and pressure controller.

The etches were halted at periodic intervals to measure film thicknesses and to infer etch rates, using an ellip- someter (Gaertner, Model Ll l5B) . A single-layer fixed index program was used for calculating thicknesses. Both a single-layer variable index program and a two-layer fixed-index program were also tried; however, these gave readings that appeared less reliable than the single-layer fixed-index program. While each method gave different absolute values of film thickness, trends in film thickness related to oxynitr ide formation remained the same.

Results Wet etching.--Wet etching results showed an increasing

oxynitride thickness with increasing temperature and pressure used during oxidation. Relative oxynitride thick-

) unless CC License in place (see abstract).  ecsdl.org/site/terms_use address. Redistribution subject to ECS terms of use (see 128.120.175.166Downloaded on 2014-05-11 to IP

J. Electrochem. Soc., Vol. 138, No. 5, May 1991 �9 The Electrochemical Society, Inc. 1391

Table III. Time to deglaze oxynitride layer from oxidized Si3N4.

Oxidation Etch time (s) Etch time (s) T (~ P (atm) 0.5% BHF 5% HF

850 1 15 15 975 1 75 30 850 10 105 45 975 10 120 60 850 25 105 45 975 25 150 75

Table IV. Etch rates of Si3N4 films in H3PO4 after removal of oxynitride.

Oxidation Si3N~ etch rate T (~ P (atm) (A/min)

850 1 44.3 975 1 41.4 850 10 44.0 975 10 41.4 850 25 44.3 975 25 41.6

nesses were determined by repetitive etching of wafers in HF solutions. Since HF chemistries etch SiO2 with signif- icant selectivity to Si3N4 (see Table I), changes in etch rate can indicate a change in film type. Wafers representative of each set of oxidation conditions (see Table II) were pro- cessed through multiple passes of each of the two HF solu- tions, 5% HF and 0.5% buffered HF. Ellipsometric meas- urements were made to determine the total film thickness (SiO~Ny and underlying Si3N4) before and after each pass through the etchant.

Drops in etch rate marked entry into the underlying Si3N4. The total etch time prior to this run was recorded as the time required to remove the SiO=Ny film. A summary of these etch times is presented in Table III. While the etch times necessary to strip the SiO~N~ differed for the 0.5% and 5% HF solutions, the thicknesses etched before etch- ing stopped were the same for both solutions, as Fig. 2 shows.

Failure to adequately remove the oxynitride with HF re- sulted in gross nonuniformit ies in subsequent Si3N4 etch- ing with H3PO4. The H3PO 4 etched the SiOxN~ in a slow, nonuniform fashion which was exaggerated by the rela- tively rapid etching of the underlying Si~N~ once it was ex- posed. Once the SiO~N~ layer was removed, etching with H3PO4 of the Si~N~ films showed a shift in etch rates which was dependent on the temperature, but not the pressure, of the oxidation process. Initial film thickness measure-

350 Pressure (arm)

0 I i ~ l , i i , i l l l l

c

i IIJ

~e

700

600

500

40O

300

200

100

0 0

(b) i Pressure (atm) ~

lO

' ' ~ l ' ' ' H , ~ , , I , , , ~ I . . . . 100 200 300 400 500 6C0

Thickness Etched (~)

Fig. 2. Wet etch rate as a function of film depth for as-oxidized SisN4 films: (a) 0.5% buffered HF, (b) 5% HF.

merits were made after an HF treatment adequate to re- move all SiO~Ny. Final film thickness measurements were made after a 20 min etch time in the H~PO4. Higher tem- perature oxidations resulted in lower Si3N4 film etch rates, while oxidation pressure had no effect. A summary of etch rates is listed in Table IV.

Dry etching.--The thicknesses of the Si3N4 layer after etching for given amounts of dry etching time are shown, respectively, in Fig. 3 and 4 for samples either first de- glazed according to the wet chemical procedure described above or etched solely according to the dry remote plasma chemistry. For comparison, the etch behavior of SiO2 and Si3N4 standards are also presented in Fig. 3. The HF- deglazed samples all etched similarly, regardless of the prior oxidation conditions, while the completely dry etched wafers showed substantial variability. For the Si3N4 wafers having undergone the oxiclation procedure, etch behavior appeared initially similar to the SiO2 standard,

whereas at a later t ime the etch behavior appeared more like Si3N4. A transition region existed between these two

5~176176 t I- 1850 97!

Pressure (atm) i

4ooo 'o I : : & ~!s I * o [ ~ Oxide I o

" ~ t-. ~ ~ tride I e 3000

Z 2000 I,-

1000

i r i . . . . . . _ ' ' 0 0 50 100 150

Time (sec)

Fig. 3. Dry etch behavior of HF-deglozed Si3N4, thermal SiOz, and LPCVD Si3N 4. Thicknesses hove been offset by constent factors for dis- play purposes.

3000~

2500

< . 2000 6')

1500 C

e- l - 1:::

25 �9 o

0 0 100 200 300 Time (sec)

Fig. 4. Dry etch behavior of as-oxidized Si3N4.

) unless CC License in place (see abstract).  ecsdl.org/site/terms_use address. Redistribution subject to ECS terms of use (see 128.120.175.166Downloaded on 2014-05-11 to IP

1392 J. Electrochem. Soc., Vol. 138, No. 5, May 1991 �9 The Electrochemical Society, Inc.

800

600

"; 400

200

Pres~e-"- (atm) �9 z~

10 []

-- Oxide O Nitride

O 0 , 1 p , I , , , l , , ~ p l I , , , 500 1000 1500 2000 Thickness Etched (A)

Fig. 5. Etch rate as a function of film depth for HF-deglnzed Si3N 4 films, thermal 5i02. and LPCVD Si3N 4.

extremes. The t ime of onset of this transition region de- pended on the. oxidation conditions, with the earliest tran- sition being for the 875~ atm oxidation and the latest for the 975~ and 25 atm oxidations.

The etch rate film thickness relationships for the de- glazed and as-grown Si3N, films are shown, respectively, in Fig. 5 and 6a and b. Figure 5 shows that the etch rates in- creased slowly with thickness etched, for the films after HF-deglazing. Figures 6a and b show the etch rates in- creasing with thickness etched, generally in a sigmoidal fashion. The lowest-temperature, lowest-pressure oxida- tion (850~ 1 atm) resulted in a film whose etch rate in- creased regularly, from 8 to 550 A/min, with the thickness etched, to an etched thickness of about 250 A. For greater thicknesses etched, the etch rate was approximately con- stant (600 A/min). The two other Si3N4 films that were oxi- dized at 850~ showed etch rates that were constant or slowly rising (15-25 A/min) for etch thicknesses greater than 30 A. Then they entered a transition region where the etch rate increased with thickness etched, as with the 1 atm-grown film. At an etch thickness of nearly 800 A, the etch rates reached a plateau where the etch rate was 700- 800 A/min. Similar results were obtained for the Si3N, films that were oxidized at 975~ It is noteworthy that the etch rate at the native surface is lower than that of thermal SiO2 prepared by direct oxidation of the Si (150 AJmin under the dry etch conditions employed). Table V summarizes the etch rate behavior.

From the log-log plots of Fig. 6a and b, it appears that the etch rate, R, had an exponential dependence on the film thickness, d, in the transition region

R - d" [1]

We present values for n in Table V. The size of n increases, for the most part, with oxidation temperature and pres- sure. This dependence on temperature and pressure indi- cates that R(d) is a function of the oxygen concentration at d. The coefficient, n, then represents the gradient of the ox- ygen concentrat ion in the Si3N 4 film.

1000 (a

Pressure (arm)

"- 100

Tem! ~: (~

lO 8so

1 A 10 u 25 �9

1 , , , , i i , , I , , , , I , ,

..1000~. (b) j ~ T ~ o

1oo

~" JTemp,

=: u- 95C0 ) p, 10 Pressure (atm) j uJ t

1 ] A 10 ~ n 25 o

1 I I I I [ I I I I I I I I II I I

1 10 100 1000 10,000 Thickness Etched (A)

Fig. 6. Etch rate as a function of film depth for as-oxidized Si3N 4 films: (a) 850~ and (b) 975~

Discussion The variation in dry etch rates as we etched through the

films can be explained by a model of the film that consists of three layers subsequent to oxidation. On the surface of the film is a layer of approximately stoichiometric SiO~. Beneath this layer is a region that is graded in composit ion from SiO2 to SigN4, i.e., SiO=Ny. At the base of this film is a layer of Si3N4 which has not been oxidized, but may be al- tered in some way (such as densification) by the applica- tion of a combinat ion of high temperature and pressure. 3

To study the nature of the film, we have employed Ruth- erford backscattering (RBS) and ellipsometry.

RBS.--The composit ion of each S i O ~ y layer was de- rived from depth profiles with units of areal density (g/cm2). Linear combinations of density of SiO2 and Si3N 4 were used to derive densities for each layer. A representa-

3 We did not find any change in tl~e refractive index of LPCVD Si3N, after exposing Si3N, films on Si to an inert ambient of Ar at the temperatures and pressures of this study. This suggests, ad- mittedly inconclusively, that large density changes did not occur either.

Table V. Dry etch properties of as-oxidized SisN4: apparent film thicknesses, d, etch rates, R, and transition region exponent, n.

Oxidation Surface layer ~ Transition b Lower layer T (~ P (arm) d (A) R (A/min) n d (A) R (/~Jmin)

850 1 - - - - 1.3 250 600 975 1 20 20 1.3 400 500 850 i0 40 20 1.9 300 600 975 i0 60 23 2.0 400 600 850 25 45 25 1.7 700 700 975 25 100 30 2.4 600 700

a Surface layer refers to the low-etch rate material at the surface of the oxidized Si3N4 film. d refers to the thickness etched showing the low-etch characteristic.

b Transition refers to the middle region of the oxidized Si3N4 film, characterized by exponentially increasing etch rate. c Lower layer refers to the high-etch rate material comprising the unoxidized Si3N4 film. d refers to the thickness etched before this layer

was reached. This layer presumably continues to the Si substrate.

) unless CC License in place (see abstract).  ecsdl.org/site/terms_use address. Redistribution subject to ECS terms of use (see 128.120.175.166Downloaded on 2014-05-11 to IP

J. Electrochem. Soc., Vol. 138, No. 5, May 1991 �9 The Electrochemical Society, Inc. 1393

1.0

0.8

0.6 t. U. ,2 E o 0.4

0.2 j/O ~,/, N ~

0.0 400 800 1200 1600 2000 2400

Film Depth (A)

Fig. 7. Sample RBS depth profile of Si, O, and N for as-oxidized Si3N 4 film (25 arm, 975~

0.5

Pressure (aim) I: 0 r 10 �9 0.4 2s I1

~ 0 . 3 -

o 0.2

0 0 50 100 150 200 250 300 350 400

Film Depth (A)

Fig. 8. RBS depth profiles of oxygen for as-oxidized Si3N 4 films.

tive depth profile using this method is shown in Fig. 7. Fig- ure 8 highlights the dependence of the oxygen level on depth beneath the film surface. The thickness of each SiO~/~ layer was determined from the depth profiles as- suming that the interface of the SiOxNJSi3N4 layer occurs when the oxygen atomic fraction has fallen to half its ini- tial value. The layer composit ions and densities used are tabulated in Table VI along with the computed thick- nesses.

The layer composit ion agrees in general with the level of oxidation of the Si3N4 that might be expected following oxidation. Low temperature/low pressure oxidation has the least effect on the film, which consequently has the highest Si3N4 composit ion and density of the post-oxi- dation films. Conversely, the films processed under the most strongly oxidative conditions were largely SiO2, with only a small amount of Si3N4 remaining. The 975~ 25 atm oxidation resulted in a highly oxidized surface, but the ox- ygen did not have t ime to diffuse and react very far into the Si3N4 film, due to the short diffusion t ime (see Table II).

Table VI also indicates that there was Si in two films, the ones produced at 975~ 10 atm, and at 850~ 25 atm. This resulted from the method with which we computed the

Table VII. Comparison of dry etch end RBS inferred SiO~Nvfilm thicknesses.

Oxidation d (A) SiOxNv Ratio T (~ P (arm) Dry RBS Dry/RBS

850 1 250 135 1.8 975 1 400 170 2.4 850 10 300 185 1.6 975 10 400 295 1.4 850 25 700 265 2.6 975 25 600 275 2.2

layer composition: all N was assumed to be due to Si3N4, and all oxygen due to SIO2. In these two films, these as- sumptions led to a calculated excess of St. A more reasona- ble interpretation of the data would be that some of the ox- ygen attributed to SiO2 was actually part of an SiO moiety, that is, the conversion from Si3N4 to SiO2 was incomplete.

The oxidation conditions affect etch rates according to the amount of oxygen incorporated into the film, and also by any densification of the film. Figure 5 shows two effects for HF-deglazed samples: (i) etch rates slowly increase with depth, presumably as the vestigial oxygen concentra- t ion declines; and (it) the 850 and 975~ oxidized wafers have etch rates that tend to cluster together as separate groups. This may be due to densification of the Si3N4 film.

The convention of choosing the interface position as a drop by one-half of the atomic oxygen signal results in thickness values that are approximately half of those that we obtained by etch rate measurements, 4 as is shown in Table VII. The average ratio is 2.0 _~: 0.5, in good agreement with the hypothesis. Consequently, in the transition re- gion, we can reasonably assume that the etch rate is de- pendent on the compositional balance, with the resultant etch rate being proportional to the linear combination of etch rates for the mixed materials.

While the two wet etch solutions, 0.5% BHF and 5% HF, used to initiate the etch agreed with each other in the depth of the oxidized layer, the thicknesses which they de- termined were in accordance with the unadjusted RBS values rather than the dry etch ones. This difference may result from the higher selectivity of the wet etches as com- pared to the dry one: once the film is much more like ni- tride than oxide, the etching stops completely, while for the dry etch, which was designed primarily to etch Si3N4, the etch begins slowly in the oxide, then accelerates as the material becomes more like a nitride.

Ellipsometry.--The results of ellipsometric analysis of the oxidized Si3N4 films using a two-film program agreed in general terms with the dry etch rate results and the RBS data. The data taken during etching of the various films of this study, shown in Fig. 9a and b, indicate that there is a substantial increase in SiOxNy layer thickness with oxida- tion temperature and pressure. The exact thickness of the oxynitride is not known due to lack of knowledge of the index of refraction in the SiOxN~ and the graded nature of the SiO=N, component. The scatter in the data prevents a more detailed analysis.

4 The dry etch thickness is defined as the thickness into the SiO=N~ film at which the etch rate approximately reaches a con- stant value representative of the base Si3N4.

Table VI. RBS compositional analysis of oxidized Si3N 4.

Oxidation T (~ P (atm)

Layer composition Density d (A) SiOxNy d (A) Si3N4]SiO2/Si (g/cm 3) RBS1 a RBS2 ~ SigN4

850 1 5 2 0 3.14 975 1 2 3 0 2.76 850 l0 3 5 0 2.74 975 10 1 4 1 2.50 850 25 1 4 5 2.43 975 25 1 2 0 3.69

100 170 190 250 240 240

50 170 180 340 290 310

2010 1980 2110 2050 2050 1950

a Computed from RBS integrated area. b Computed from RBS peak height.

) unless CC License in place (see abstract).  ecsdl.org/site/terms_use address. Redistribution subject to ECS terms of use (see 128.120.175.166Downloaded on 2014-05-11 to IP

1 3 9 4 J. Electrochem. Soc., Vol. 138, No. 5, May 1991 �9 The Electrochemical Society, Inc.

800

Pressure Temp (C} 500 ( ~.. (atm) 850 ~ 975 =

r '" 1 A - - & 400 , ~'~ ~,. ~ = =

ca j ~ / -. EI~ �9 .... o

~ 3 0 0 I ' 0 ........ ~ ; . . . . o . . . o, , ~, .... o

"~'~ ~ 2 0 0 ' ' " ' 0 . . ~, "'.. ' . .

100 ' ~ ~ ' " ' 0 .... D~****~ Q%

i . . . . .0 2400 �9 ......... �9 ........ �9 / ~ 1

2300 ...... , . . . . . . . . .,. / I . . . . 2200 ' " . . l - - - - - - ' l l ' - ".. / I .e

21oo . . ....... : . o . / <

~ 2 0 0 0 ~%,~" /

1000 ~ 1800 , , , n . J n

50 100 150 200 250 Time (Sec)

Fig. 9. Two-film ellipsometric analysis of as-oxidized Si3N4 film etching.

Conclusion The Si3N4 film used as a mask for the local oxidation of

Si may be removed by either wet or dry means. The condi- tion of the Si3N4 subsequent to the oxidation must be un- derstood and accounted for when etching this film. When using HF/H3PO~, the variation of the oxidized film thick- ness requires longer HF deglaze times for more strongly oxidizing conditions. The hot H3PO4 process to remove the underlying Si3N4 must also be adjusted, as the etch rate of Si3N4 depends to some extent, as well, on the prior oxidiz- ing environment. Dry etching must encompass an SiO=N~ etch rate that increases with time, reaching a plateau value for the underlying Si3N4 that is dependent on the prior oxi-

dation step. As the SiOxN~ film is so slow to etch, small variations in either the nature of the deposited Si3N4 or the oxidizing environment may have large effects on the t ime needed to remove the Si3N4 film entirely. As a conse- quence, an endpoint system is highly desirable.

Acknowledgments The RBS measurements were taken by J. Keenan. We

appreciate the assistance of R.K. Pohlmeier, G. Schneider, S. Hughes, and M. Kasner. The research was sponsored in part by the DARPA Defense Sciences Office and the U.S. Air Force Wright Research and Development Center, Manufacturing Technology Directorate and Elec- tronics Technology Laboratory under Contract F33615-88- C-5448.

Manuscript submit ted May 7, 1990; revised manuscript received Nov. 30, 1990. This was Paper 396 presented at the Hollywood, FL, Meeting of the Society, Oct. 15-20, 1989.

Texas Instruments Incorporated assisted in meeting the publication costs of this article.

REFERENCES 1. W. Malawi, "Atlas of IC Technologies: An Introduction

to VLSI Processes," Benjamin/Cummings, Menlo Park, CA (1987).

2. T. A. Shankoff, T. T. Sheng, S. E. Haszko, R. B. Marcus, and T. E. Smith, This Journal, 127, 216 (1980).

3. W. van Gelder and V. E. Hauser, ibid., 114, 869 (1967). 4. J. T. Milek, "Silicon Nitride for Microelectronic Appli-

cations, Part I - -Preparat ion and Properties," pp. 1-118, IFI/Plenum, New York (1971).

5. C. Cavallari and F. Gualandris, This Journal, 134, 1265 (1987).

6. L.M. Loewenstein, J. Vac. Sci. Technol. A, 6, 1984 (1988). 7. L. M. Loewenstein, ibid., 7, 686 (1989). 8. S. Suto, N. Hayasaka, H. Okano, and Y. Horiike, This

Journal, 136, 2032 (1989). 9. H. Schlichting, "Boundary-Layer Theory," 7th ed.,

p. 95, McGraw Hill, New York (1979).

The Equilibrium of the Chemisorption of TiCI4, H2, and N2 on Titanium Nitride

Ming Shyong You, Naruhiko Nokanishi, and Eiichi Koto Waseda University, Department of Materials Science and Engineering, Shinjuku-ku, Tokyo, Japan 169

ABSTRACT

For the purpose of clarifying the process of the CVD of TiN, the chemisorption of TIC14, H2, and N2 on TiN has been investigated by the use of a thermal conductivity detector at 900 and 950 K. It has been found that the equil ibrium con- stant of the chemisorption and the adsorption heat of TIC14 molecules are larger than those of hydrogen atoms and nitro- gen atoms.

We have investigated the kinetics of chemical vapor dep- osition (CVD) of t i tanium nitride (1). Under surface reac- t ion control regime, it has been suggested that the proba- ble rate-controlling step is the reaction of hydrogen atoms with nitrogen atoms on the reaction surface or the ad- sorption of hydrogen molecules and that of nitrogen mole- cules on the surface. The deposition rate decreased with increasing partial pressure of TIC14 under the experimental conditions employed in the study. A possible explanation for obtaining such results is that the surface coverages by hydrogen and nitrogen atoms were reduced when the par- tial pressure of TiCl4 was increased, due to competitive ad- sorption. The adsorption equil ibrium constants of these gases are estimated approximately as K~c14 = 10 -4 Pa -1, KH2 = 10 -7 Pa -1, and K~ 2 = 10 -8 Pa -1 from the results of the deposition experiments. However, these values have not been confirmed by measurements. For clarifying the pro- cess of the CVD of TiN, it is necessary to study the ad-

sorption equil ibrium of TIC14, H2, and N2; in this study, the equil ibrium constants of the adsorption, the surface cover- ages, and the adsorption heats are determined by the use of a thermal conductivity detector (TCD) at 900 and 950 K.

The volumetric technique and the gravimetric technique have been used commonly for the measurements of ad- sorption. Though the sensitivity in the TCD technique is inferior to that of volumetric technique, the condensable gas that has a boiling point is close to room temperature can be measured by the TCD technique. The TCD tech- nique also has the advantages of its simplicity, speed, and freedom from the maintenance problems of a vacuum sys- tem. A small amount of adsorption, such as the adsorption of Ha and N2 cannot be measured by the gravimetric tech- nique. The adsorption of TiCl4, which is a condensable gas, and those of H2 and N2 could be determined by the same apparatus. Further advantage of this technique is that the reduction of the oxide on the surface of the sample can be

) unless CC License in place (see abstract).  ecsdl.org/site/terms_use address. Redistribution subject to ECS terms of use (see 128.120.175.166Downloaded on 2014-05-11 to IP