characterizing polarized illumination in high numerical

46
Characterizing Polarized Illumination in High Numerical Aperture Optical Lithography with Phase Shifting Masks Gregory McIntyre Prof. Andrew Neureuther University of California, Berkeley Dissertation Talk 28 April 2006

Upload: others

Post on 21-Mar-2022

2 views

Category:

Documents


0 download

TRANSCRIPT

Characterizing Polarized Illumination

in High Numerical Aperture Optical

Lithography with Phase Shifting Masks

Gregory McIntyreProf. Andrew Neureuther

University of California, Berkeley

Dissertation Talk

28 April 2006

2 McIntyre, dissertation talk, 04/28/06

Research Overview

1

23

4

5

Illumination Source

Condenser Optics

Mask (object)

Projection Optics

Wafer (image)

1

2

3

4

5

Typical lithographic Scanner

• Develop phase-shift mask monitors to characterize illumination,

polarization, lens birefringence and PSM performance

• Investigate polarization aberrations of projection optics

• Screen IC layouts for areas vulnerable to polarization effects

using pattern matching

Research Themes:

3 McIntyre, dissertation talk, 04/28/06

Outline

• Background

• Phase-shift illumination monitors

• Linear phase grating

• Linear phase ring

• PSM Polarimeters

• Practical limitations

• Polarization aberrations

• Screening layouts

• Summary

4 McIntyre, dissertation talk, 04/28/06

Motivation: Independently verify engineered

illuminator and polarization states

ASML, Bernhard (Immersion symposium 2005)

Polarization

orientation

TE

Goal: Develop characterization techniques for

• Illumination angular uniformity and distribution

• Quality of engineered polarization states

• Across field uniformity

5 McIntyre, dissertation talk, 04/28/06

Phase Shift Mask Monitors

Quartz

ChromeAir

Traditional

Binary

Phase-Shift Mask PSM Monitor

0°90°

180°270°

Air

Quartz

Chrome

CAD layout

090180270 Chrome

Chrome

Notation

Depth =Phase · λ

360° · (nQuartz – 1)

Strategy: Leverage topography enabled by state-of-the-art

multiple phase-shift photomasks

6 McIntyre, dissertation talk, 04/28/06

Phase Shift Test Reticles

• Designed three multi-phase test reticles

• Fabricated by Photronics & Toppan

• Experiments conducted at Nikon, AMD, and ASML

• Integrated efforts of >15 students

Oct ‘04Nov ‘03 Aug ‘05

Feature Level Compensation and Control (FLCC)

Experimental verification via collaboration with industry

7 McIntyre, dissertation talk, 04/28/06

Pattern 1

Linear Phase Grating

McIntyre, SPIE 5040

McIntyre, SPIE 5377

8 McIntyre, dissertation talk, 04/28/06

090180270

Linear Phase Grating• Four-phase linear grating serves to diffract

illumination into only +1 and higher orders

• Grating period determines diffraction angle

090

180

270

2πkx= kosin(θ) = P

+1 order

θ

9 McIntyre, dissertation talk, 04/28/06

090180270

Linear Phase Grating• Four-phase linear grating serves to diffract

illumination into only +1 and higher orders

• Grating period determines diffraction angle

Increasing dose

Layout

Resist

orientation

period

10 McIntyre, dissertation talk, 04/28/06

Pattern 2

Linear Phase Ring

McIntyre, JVST, Dec 03

McIntyre, SPIE 5377

11 McIntyre, dissertation talk, 04/28/06

Linear Phase Ring

Proximity effect image formation from PSF (Airy Pattern)

on mask acts as an illumination monitor

psf

12 McIntyre, dissertation talk, 04/28/06

• Introduce linear phase

progression into rings to detect

off-axis illumination ray

Proximity effect image formation from PSF (Airy Pattern)

on mask acts as an illumination monitor

Linear Phase Ring

Resist Profile with

Increasing Dose

Center begins to clear

13 McIntyre, dissertation talk, 04/28/06

Pattern 3

PSM Polarimeters:

High-NA Polarization Monitors

McIntyre, JVST, Jan 05

McIntyre, SPIE 5754

McIntyre, SPIE 6154

McIntyre, JM3, Jul 05

14 McIntyre, dissertation talk, 04/28/06

PSM Polarimeters

• Z component of E-field

introduced at high

numerical aperture (NA)

from TM pupil component

φ

TM

TE

mask

wafer

xz<

y

<<

• Strategy: Engineer which

incident polarization

component produces the

TM pupil component

• Maximize signal with

proximity effects

Ez(x) = ETM sin(φ)

Ez

15 McIntyre, dissertation talk, 04/28/06

Monitoring Polarization with High-NA

Vector EffectsPolarization State

V HUnpolarized

Simulated Resist ImageMask Layout

0°180°

Cr

Linear phase grating

Radial phase grating

Proximity effect polarization analyzer

0

1

2

3X pol

Un po l

Y pol

Clear field intensity

H

V

0

1

2

3X pol

Un po l

Y po l

Clear field intensity

H

V

0

1

2

3X pol

Un po l

Y po l

Clear field intensity

H

V

Polarization signal

~2x signal

~3-4x signal

16 McIntyre, dissertation talk, 04/28/06

PSM Polarimeters

φ

TM

TE

mask

wafer

xz<

y

<<

Ez(x) = ETM sin(φ)

Ez

)()( xExk

jxE

k

kTMTM

x

∂∂

==00

φkxko

Z Component is derivative of XY components

17 McIntyre, dissertation talk, 04/28/06

Z Component is derivative of XY components

Exy (x,y)

Ez(x,y) = Exy (x,y) j

ko

∂∂x

1-DImage reversal

= ℑ{Pupil}

+

Exy (x,y) j

ko

∂∂y

j

X polarization Ez PSF

Y polarization Ez PSF

3-D

PSF

PSM Polarimeters

Ez(x) = ETM sin(φ) )()( xExk

jxE

k

kTMTM

x

∂∂

==00

φkxko

18 McIntyre, dissertation talk, 04/28/06

Exy (x,y)

Ez(x,y) = Exy (x,y) j

ko

∂∂x

1-D

Z Component is derivative of XY components

Image reversal, out of phase

= ℑ{Pupil}

+

Exy (x,y) j

ko

∂∂y

j

X polarization Ez PSF

Y polarization Ez PSF

3-D

PSF

Reciprocity implies

optimum polarization

analyzer

180°Cr

PSM Polarimeters

Signal in resist = dose

where center clears

19 McIntyre, dissertation talk, 04/28/06

Simulations in TEMPEST PanoramicMask pattern X linear Y linear 45 linear 135 linear unpolarized

or circular

latent images in resist

Simulation shows good sensitivity

0

1

2

3

4

X linear

Y linear

45 linear

135 linear

unpolarized

Center Intensity (CF)

Center

Intensity

• Resist: n = 1.7, k = 0.018, 225nm

• ARC: n = 1.5, k = 0.54, 35nm

• λ = 193nm, NA = 0.93, σ = 0.1, dry • 180° regions etched to 195°

20 McIntyre, dissertation talk, 04/28/06

Circular and Off-Axis Analyzers

Circular Polarization:central vortex creates signal

dependant on circular

polarization state

R

L

0°180°90°270°

Off-Axis Illumination:

4-phase, linear phase progression

to ‘re-center’ off-axis ray

+0

90180

270

challenging

mask making

=

21 McIntyre, dissertation talk, 04/28/06

Polarimetry theory

Pre-calibrating set of analyzers enables reasonable polarization

measurement, even considering severe mask topography effects

FS1W −=m

measured values

calibration matrixmeasured Stokes vector

+

=

=

LR

TMTE

TMTE

PP

PP

PP

PP

s

s

s

s

13545

3

2

1

0

S

Stokes vector completely characterizes

state of polarization

PTE = true flux of light

in TE polarization

TE TM

13545

R

L

On-axis polarimeter

*similar to Chipman, Handbook of Optics, ch. 22

Off-axis polarimeter

13545 L

TMTE R

22 McIntyre, dissertation talk, 04/28/06

• Combined use of LPG, LPR and PSM

Polarimeters to characterize entire

illuminator

Test Reticle Design

Front

Radius ~ 100um

Back

• Pinhole aperture on backside of reticle

polarimeter

23 McIntyre, dissertation talk, 04/28/06

Mask 2: Test reticle

Backside pinhole array

24 McIntyre, dissertation talk, 04/28/06

• Mask 1 (radial phase grating)

• apertures for σ = 0.1• sensitivity ≅ 0.3 • agreed to within 10% with Nikon’s Apollo

• largest error: calibration with multiple field locations

• Mask 2 (proximity effect analyzers)

• backside pinhole layer for tool-friendly usage

• encountered alignment complication, however results

agree with theory

• theory predicts sensitivity ≅ 1.0 • Stokes measurement to within 0.02 → 0.03

%

%CFpol∆

%

%CFpol∆

Experiments done on 2 test reticles validate

scientific principles of PSM Polarimetry

Experiments: overview

25 McIntyre, dissertation talk, 04/28/06

%CF: 0.30

%CF: 0.87

%CF: 0.31

%CF: 0.90

TE-analyzer

TM-analyzer

Increasing dose

Increasing dose

Incident polarization: TMOff-Axis (σc = 0.81)

Experimental results – Mask 1

Incident polarization:

%CF: 0.22

%CF: 0.50

%CF: 0.19Increasing dose

Increasing dose

%CF: 0.48

X-analyzer

Y-analyzer

On-AxisX

Y XPolarization(S1/S0)

0.1

0.2

0.3

0.4

0.5

0.6

-1 -0.5 0 0.5 1

Intensity (CF)

Y X

%CF

% ∆pol~0.33

Sensitivity

TM TEPolarization(S1/S0)

0.1

0.3

0.5

0.7

0.9

-1 -0.5 0 0.5 1

TM

TE

Intensity (CF)

%CF

% ∆pol~0.23

→.31

Sensitivity

(generation 1 patterns:

radial phase grating)

Measurement signal

26 McIntyre, dissertation talk, 04/28/06

Monitor [S0 S1 S2] linear polarization with 4

analyzers

-1

-0.8

-0.6

-0.4

-0.2

0

0.2

0.4

0.6

0.8

1

-1 -0.8 -0.6 -0.4 -0.2 0 0.2 0.4 0.6 0.8 1

Calibration point

S1/S0 & S2/S0 Measurement

Calibrate left & right of field

Test (Apollo measurement)

-1

-0.8

-0.6

-0.4

-0.2

0

0.2

0.4

0.6

0.8

1

-1 -0.8 -0.6 -0.4 -0.2 0 0.2 0.4 0.6 0.8 1

B A

Measure center of field

Test (RPG measurement)

-1

-0.8

-0.6

-0.4

-0.2

0

0.2

0.4

0.6

0.8

1

-1 -0.8 -0.6 -0.4 -0.2 0 0.2 0.4 0.6 0.8 1

B A

S1/S0

S2/S0

Calibrate set of analyzers:

polarimeter 45

135

X

Y

HV45135

TT

P inverse WW)(WW ⋅⋅=

=

135213511350

452451450

210

210

,,,

,,,

,,,

,,,

S

SSS

SSS

SSS

SSS

VVV

HHH

c

=

13513513545135135

4513545454545

13545

13545

,,,,

,,,,

,,,,

,,,,

F

FFFF

FFFF

FFFF

FFFF

YX

YX

VVVYVX

HHHYHX

c

T

c

T

cc

T

cinverse ]FS)S(S[W ⋅⋅⋅=

⋅=⋅=

A

A

AY

AX

PAPA

,135

,45

,

,

F

F

F

F

WFWS

Measure arbitrary Stokes (A)

27 McIntyre, dissertation talk, 04/28/06

The Imaging Demons

28 McIntyre, dissertation talk, 04/28/06

Demons DefinedIllumination Source

Condenser Optics

Mask (object)

Projection Optics

Wafer (image)

1

2

3

4

5

Dose imbalance

Finite min/max size

Polarization imbalance

Condenser aberrations

Misalignment

Polarization aberrations

3-D EM-Mask effectstransmission imbalanceeffective phase error

polarization dependence

incident angle dependence

Mask making errorsfeature size error

phase etch error

alignment errorAberrations

Finite NA

Polarization aberrations

High-NA vector effects (polarization dependent)

Focus drift

wafer flatness, feature dependant best focus

Resist (spherical aberration, standing waves, diffusion)

Immersion issues

Greatest source

of concern

29 McIntyre, dissertation talk, 04/28/06

Near Field Simulation

The Mask Demons

However, various design practices and/or calibration of the

test mask can minimize or negate these sources of error

Electromagnetic

interaction with

Mask

Mask making

limitations

LPG

layout resist

PSM Performance monitor

shows imbalance effect

30 McIntyre, dissertation talk, 04/28/06

Mask Topography (EM) Effects on PSM

PolarimetersTM analyzer more sensitive than TE analyzer (thick mask)

TE AnalyzerTM Analyzer

TM analyzer design inherently less susceptible to

topography effects

4-phase progression more effective at redirecting TM

polarization

0

1

2

3

4

-1 -0.5 0 0.5 1

Proximity effect analyzers (aerial image)

Linear Polarization (S1/S0) TETM

Thin

Thick

31 McIntyre, dissertation talk, 04/28/06

Mask Topography (EM) Effects on PSM

PolarimetersTM analyzer more sensitive than TE analyzer (thick mask)

TM analyzer design inherently less susceptible to

topography effects

444---phase progression more effective at redirecting TM phase progression more effective at redirecting TM phase progression more effective at redirecting TM

polarizationpolarizationpolarization

TE AnalyzerTM Analyzer TE light

TM light

32 McIntyre, dissertation talk, 04/28/06

glass air

Mask Topography (EM) Effects on PSM

Polarimeters

A B D

A

TE>TM

TMTE

θ

θ <θcglass to air

TIR

B

θ >θcglass to air

D

TE>TM

any θair to glass

C

TIR

C

θ = 90on-axis

TE

TM

TM analyzer more sensitive than TE analyzer (thick mask)

TM analyzer design inherently less susceptible to TM analyzer design inherently less susceptible to TM analyzer design inherently less susceptible to

topography effectstopography effectstopography effects

4-phase progression more effective at redirecting

TM polarization

33 McIntyre, dissertation talk, 04/28/06

Layout

0

0.4

0.8

1.2

1.6

TE TM

Thin Thick Experiment

Mask making limitations • Significant loss in sensitivity

Mask SEM Wafer SEM

0

0.2

0.4

0.6

0.8

1

1.2

X Y

Thin Thick Experiment

TM

TE

accounted for in mask

calibration, but increases impact

of experimental errors

Layout Mask SEM

• DC bias of TM analyzer

0

1

2

-1 -0.5 0 0.5 1

Thin

ThickExperiment

TMTE

Sensitivity Sensitivity

34 McIntyre, dissertation talk, 04/28/06

0

0.5

1

1.5

-1 -0.5 0 0.5 1

Thin

Thick

Experiment

TM TE

0

0.5

1

1.5

-1 -0.5 0 0.5 1

Thin

Thick

TMTE

TETM Unpolarized

TM vs. TE proximity effects

Consider smaller RPG (higher NA scattering)

• less sensitive

• asymmetric behavior (thin mask)

35 McIntyre, dissertation talk, 04/28/06

Pattern derivation explains asymmetric behavior

PU

mag phase

Pupil σ Pupil ⊗ σ

PSF = IFT(P⊗σ)

PSFy∂∂

PY1

iPY2

mag phase

+

Y

PSFx∂∂

PX1

iPX2

mag phase

+

X

On-Axis

36 McIntyre, dissertation talk, 04/28/06

PSFy∂∂

PSFx∂∂

mag phase

PY1

iPY2

mag phase

PX1

iPX2

mag phase

Pupil σ Pupil ⊗ σ

PSF = IFT(P⊗σ)

++

TE TM

Off-Axis

Pattern derivation explains asymmetric behavior

37 McIntyre, dissertation talk, 04/28/06

Considering all limitations, sensitivity is

expected to be:%CF

% ∆pol~ 1

PSM Polarimetry: How practical is it?

%CF

% ∆pol~ 2

(current design)

(future design)

Stokes measurement to

within 0.02 → 0.03

(Industry standard is likely to within 0.05)

38 McIntyre, dissertation talk, 04/28/06

Polarization Aberrations

McIntyre, Immersion Symposium, 05

McIntyre, JM3 (accepted)

39 McIntyre, dissertation talk, 04/28/06

Comparison of various ways to represent polarization

dependent wavefront distortions

Polarization Aberrations

Jones-pupilPhysical properties

Pauli-pupil

Mueller-pupilTransmitted fields

40 McIntyre, dissertation talk, 04/28/06

Screening layouts for areas

vulnerable to polarization

effects using fast pattern

matching

McIntyre, JVST, Dec 05

Holwill, SPIE 06

41 McIntyre, dissertation talk, 04/28/06

Introduction: Pattern Matching

Z component of E-field

introduced at high-NA from TM

polarization pupil component

Strategy:

• Find patterns that maximize

this unwanted effect

• Screen layouts for similarity to

these patterns: this implies

vulnerability to high-NA and

polarization effects

+ =

φ

TM

TE

mask

wafer

Ez = ETM sin(φ)

Ez

X polarization Ez PSFY polarization Ez PSF

42 McIntyre, dissertation talk, 04/28/06

Vulnerability score (Vpol) vs change in

intensity for 10% polarization variation

0

0.05

0.1

0.15

0.2

0.25

-0.16 -0.14 -0.12 -0.1 -0.08 -0.06 -0.04 -0.02 0

Simulation of 10% change in polarization

Vulnerability-pol

ScoreVPol =

OX

P

E

I

∂∂

Vulnerability score (Vpol) is a good predictor of how susceptible the

layout is to variations in polarization

Simulation example: Vulnerability to

perturbations of illumination polarization state(Coherent Illumination, Alternating PSM, fabricated examples)

0 .0 5 0 .0 3 - 0 .1 3 0 .1 2 - 0 . 2 1

0 .7 6 0 .6 9 0 .5 7 0 .5 0 0 .3 4

0 .0 0 - 0 . 0 1 0 .0 0 0 .0 6 0 .0 8

0 .1 9 6 0 .1 6 5 0 .1 1 2 0 .0 9 5 0 .0 5 7

MFUMFX1MFY1VPol

• Nominal condition is 100% y-polarized illumination

• Simulated error is 10% unwanted X-polarized light

43 McIntyre, dissertation talk, 04/28/06

Summary

• Phase-shift monitors

• Designed and developed novel test patterns to

characterize illumination and polarization

• Scientific principles verified via simulation and

experimental studies

• Understanding of relevant imaging limitations

• PSM Polarimetry: viable commercial solution

• Investigated Polarization Aberrations

• Applied polarization knowledge to screening IC designs

44 McIntyre, dissertation talk, 04/28/06

SupportThis work was funded by the Feature Level Compensation

and Control Grant, a UC Discovery project supported by

the following companies:

45 McIntyre, dissertation talk, 04/28/06

AcknowledgementsSpecial thanks to the tremendous help and support received by

the following individuals and organizations:

Bryan Kasprowicz, Marc Cangemi, Ramkumar

Karur-Shanmugam, Rand Cottle, Justin Novak

Mark Smith

Jongwook Kye, Harry Levinson, Alden Acheta

Tom Pistor

Greg Hughes, Paul Walker, Susan McDonald

S. Slonaker, K. Fujii, H. Nishinaga, T. Miyagi

Patrick Reynolds, Venu Vellanki

46 McIntyre, dissertation talk, 04/28/06

Thank You