chapter 5 thermal processes - ntut.edu.t fabrication_ga/ic... · chapter 5 thermal processes...

106
1 2006/9/27 1 Chapter 5 Thermal Processes 2006/9/27 2 Objective List four thermal processes Describe thermal process in IC fabrication Describe thermal oxidation process Explain the advantage of RTP over furnace Relate your job or products to the processes

Upload: vohuong

Post on 27-Mar-2018

230 views

Category:

Documents


1 download

TRANSCRIPT

Page 1: Chapter 5 Thermal Processes - ntut.edu.t fabrication_GA/IC... · Chapter 5 Thermal Processes 2006/9/27 2 ... •Describe thermal oxidation process •Explain the advantage of RTP

1

2006/9/27 1

Chapter 5

Thermal Processes

2006/9/27 2

Objective

•List four thermal processes•Describe thermal process in IC fabrication•Describe thermal oxidation process•Explain the advantage of RTP over furnace•Relate your job or products to the processes

Page 2: Chapter 5 Thermal Processes - ntut.edu.t fabrication_GA/IC... · Chapter 5 Thermal Processes 2006/9/27 2 ... •Describe thermal oxidation process •Explain the advantage of RTP

2

2006/9/27 3

Contents

•Definition•Hardware•Oxidation•Diffusion•Annealing

–Post-Implantation–Alloying–Reflow

•High Temp CVD–Epi–Poly–Silicon Nitride

•RTP–RTA–RTP

•Future Trends

2006/9/27 4

Definition•Thermal processes are the processes operate at

high temperature (700 ~ 1200C), which isusually higher than melting point of aluminum.

•They are performed in the front-end of thesemiconductor process, usually in hightemperature furnace commonly called diffusionfurnace.

•Oxidation, diffusion, deposition, annealing andRTP (rapid thermal process) are included.

•Oxidation and Diffusion were the backboneprocesses in early-year IC fabrication.

Page 3: Chapter 5 Thermal Processes - ntut.edu.t fabrication_GA/IC... · Chapter 5 Thermal Processes 2006/9/27 2 ... •Describe thermal oxidation process •Explain the advantage of RTP

3

2006/9/27 5

Hardware - Furnace•The most commonly used tool for thermal processes in

semiconductor fabrication.•Consists of loading system, process tubes, gas delivery

system, control system and exhaust system.•Horizontal and vertical types, the latter equips with

smaller footprint, low particle contamination, ability tohandle larger wafers and better uniformity.

•Quartz and SiC are the main material to endurethermal process.

•Microcontrollers, mass flow controllers (MFCs),paddles are often employed.

•Multi-tube system (2 ~ 4 tubes) for oxidation,diffusion and low pressure CVD.

2006/9/27 6

Layout of a Horizontal Furnace

GasDeliverSystem

ProcessTubes

Exhaust

LoadingSystem

Control System

Page 4: Chapter 5 Thermal Processes - ntut.edu.t fabrication_GA/IC... · Chapter 5 Thermal Processes 2006/9/27 2 ... •Describe thermal oxidation process •Explain the advantage of RTP

4

2006/9/27 7

Control System

Computer

Micro-controller

Micro-controller

Micro-controller

Micro-controller

Micro-controller

Process TubeInterfaceBoard

ExhaustInterfaceBoard

Gas PanelInterfaceBoard

LoadingStationInterfaceBoard

VacuumSystemInterfaceBoard

2006/9/27 8

Gas Deliver System

ToProcessTube

MFC

MFC

MFC

Control Valve

Regulator

Gas cylinders

Page 5: Chapter 5 Thermal Processes - ntut.edu.t fabrication_GA/IC... · Chapter 5 Thermal Processes 2006/9/27 2 ... •Describe thermal oxidation process •Explain the advantage of RTP

5

2006/9/27 9

Source Gas Cabinet

•Source Gases–Oxygen–Water Vapor–Nitrogen–Hydrogen

•Gas control panel•Gas flow controller•Gas flow meter

2006/9/27 10

Oxidation Sources•Dry Oxygen•Water vapor delivery

–Boiler–Bubblers–Flash systems

•Pyrogenic system : H2 + O2 H2O with a torchflame

•Chlorine sources, for minimized mobile ions in gateoxidation–Anhydrous hydrogen chloride HCl–Trichloroethylene (TCE), Trichloroethane (TCA)

Page 6: Chapter 5 Thermal Processes - ntut.edu.t fabrication_GA/IC... · Chapter 5 Thermal Processes 2006/9/27 2 ... •Describe thermal oxidation process •Explain the advantage of RTP

6

2006/9/27 11

MFC ProcessTube

Water

Heater

Heated Gas line Heated Fore line

Exhaust

Vapor Bubbles

Boiler System

2006/9/27 12

Bubbler System

Heater

MFC ProcessTube

Exhaust

N2

N2 Bubbles

Heated Gas Line

N2 + H2O

Water

Page 7: Chapter 5 Thermal Processes - ntut.edu.t fabrication_GA/IC... · Chapter 5 Thermal Processes 2006/9/27 2 ... •Describe thermal oxidation process •Explain the advantage of RTP

7

2006/9/27 13

Flush System

MFC ProcessTube

Water

N2

Hot Plate

Heater

2006/9/27 14

Pyrogenic Steam System

H2

O2

Thermal Couple

ToExhaust

Hydrogen Flame, 2 H2 + O2 2 H2O

Process Tube Wafer BoatPaddle

Page 8: Chapter 5 Thermal Processes - ntut.edu.t fabrication_GA/IC... · Chapter 5 Thermal Processes 2006/9/27 2 ... •Describe thermal oxidation process •Explain the advantage of RTP

8

2006/9/27 15

Pyrogenic System

•Advantage–All gas system–Precisely control of flow rate

•Disadvantage–Introducing of flammable, explosive hydrogen

•Typical H2:O2 ratio is between 1.8:1 to 1.9:1.

2006/9/27 16

Pyrogenic Wet Oxidation System

MFC

MFC

MFC

Control Valves

Regulator

Proc

ess

N2

Purg

eN

2

O2

H2

MFC

Scrubbier

Exhaust

Process Tube

Wafers Burn Box

Page 9: Chapter 5 Thermal Processes - ntut.edu.t fabrication_GA/IC... · Chapter 5 Thermal Processes 2006/9/27 2 ... •Describe thermal oxidation process •Explain the advantage of RTP

9

2006/9/27 17

Diffusion Sources•P-type dopant

–B2H6, burnt chocolate, sickly sweet odor–Poisonous, flammable, and explosive

•N-type dopants–PH3, rotten fish smell–AsH3, garlic smell–Poisonous, flammable, and explosive

•Purge gas–N2

2006/9/27 18

Deposition Sources•Silicon source for poly and nitride deposition:

–Silane, SiH4, pyrophoric, toxic and explosive–DCS, SiH2Cl2, extremely flammable

•Nitrogen source for nitride deposition:–NH3, pungent, irritating odor, corrosive

•Dopants for polysilicon deposition–B2H6, PH3 and AsH3

•Purge gas–N2

Page 10: Chapter 5 Thermal Processes - ntut.edu.t fabrication_GA/IC... · Chapter 5 Thermal Processes 2006/9/27 2 ... •Describe thermal oxidation process •Explain the advantage of RTP

10

2006/9/27 19

Anneal Sources

•High purity N2, is used for most annealprocesses.

•H2O sometimes used as ambient for PSG orBPSG reflow.

•O2 is used for USG anneal after USG CMP inSTI formation process.

•Lower grade N2 is used for idle purge.

2006/9/27 20

Exhaust System

•Removal of hazardous gases before release•Poisonous, flammable, explosive and corrosive

gases.•Burn box removes most poisonous, flammable

and explosive gases•Scrubber removes burned oxide and corrosive

gases with water.•Treated gases exhaust to the atmosphere.

Page 11: Chapter 5 Thermal Processes - ntut.edu.t fabrication_GA/IC... · Chapter 5 Thermal Processes 2006/9/27 2 ... •Describe thermal oxidation process •Explain the advantage of RTP

11

2006/9/27 21

Wafer Loading, Horizontal System

ToExhaust

Process Tube Wafer BoatPaddle

Processgases

Wafers

2006/9/27 22

•Thermal processes are very sensitive to thetemperature

•Precisely temperature control is vital•0.5 °C at central zone•0.05% at 1000 °C!

Temperature Control

Page 12: Chapter 5 Thermal Processes - ntut.edu.t fabrication_GA/IC... · Chapter 5 Thermal Processes 2006/9/27 2 ... •Describe thermal oxidation process •Explain the advantage of RTP

12

2006/9/27 23

Temperature Control System

•Thermocouples touching the reaction tube•Proportional band controllers feed the power

to the heating coils•The heating power is proportional to

difference between setting point andmeasured value

2006/9/27 24

Reaction Chamber

•High-purity Quartz–Stability at high temperature–Basic Cleanliness

•Drawback–Fragility–Some metallic ions–Not a sodium barrier–Small flakes at > 1200 °C, devitrification

Page 13: Chapter 5 Thermal Processes - ntut.edu.t fabrication_GA/IC... · Chapter 5 Thermal Processes 2006/9/27 2 ... •Describe thermal oxidation process •Explain the advantage of RTP

13

2006/9/27 25

Horizontal Furnace

Center Zone

Flat Zones(3~5)

Distance

Temperature

Heating Coils

QuartzTube Gas flow

Wafers

2006/9/27 26

Vertical Furnace, Process PositionProcessChamber

Wafers

Tower

Heaters

Suscepter

Page 14: Chapter 5 Thermal Processes - ntut.edu.t fabrication_GA/IC... · Chapter 5 Thermal Processes 2006/9/27 2 ... •Describe thermal oxidation process •Explain the advantage of RTP

14

2006/9/27 27

Quartz Tube

•Electric Fused•Flame Fused•Both of them as trace amount of metals•Flame-fused tubes produced devices have

better characteristics.

2006/9/27 28

Quartz Tube Clean•Very important especially for deposition

furnace to prevent particle contamination•Ex-situ clean

–Hydrofluoric acid (HF) tank–Remove a thin layer of quartz every time–limited tube lifetime

•In-situ clean–Plasma generator inside tube–Free fluorine from NF3 etch away contaminant

Page 15: Chapter 5 Thermal Processes - ntut.edu.t fabrication_GA/IC... · Chapter 5 Thermal Processes 2006/9/27 2 ... •Describe thermal oxidation process •Explain the advantage of RTP

15

2006/9/27 29

Silicon Carbide Tube

•Pros–Higher thermal stability (> 1200C)–Better metallic ion barrier

•Cons–Heavier–More expensive than quartz

2006/9/27 30

Temperature ControlAnti-Warp Methods

•Ramping–Load wafer slowly at a lower temperature (idle

temperature, ~ 800 °C)–Ramp temperature to process point after a short

stabilization period

•Slow loading–1 inch/min–thermal capacity of 200 six-inch wafers can

drop temperature as much as 50 °C

Page 16: Chapter 5 Thermal Processes - ntut.edu.t fabrication_GA/IC... · Chapter 5 Thermal Processes 2006/9/27 2 ... •Describe thermal oxidation process •Explain the advantage of RTP

16

2006/9/27 31

Horizontal Furnace

Center Zone

Flat Zone

Distance

Temperature

Heating Coils

QuartzTube Gas flow

Wafers

2006/9/27 32

Vertical Furnaces

•Smaller footprint•Better contamination control

•Better wafer handling

•Lower maintenance cost and higher uptime

•Place the process tube in vertical direction

Page 17: Chapter 5 Thermal Processes - ntut.edu.t fabrication_GA/IC... · Chapter 5 Thermal Processes 2006/9/27 2 ... •Describe thermal oxidation process •Explain the advantage of RTP

17

2006/9/27 33

Vertical Furnace, Loading andUnloading Position

ProcessChamber

Wafers

Tower

Heaters

Suscepter

2006/9/27 34

Smaller Footprint

•Clean room footage becomes very expensive

•Small footprint reduces cost of ownership (COO)

Page 18: Chapter 5 Thermal Processes - ntut.edu.t fabrication_GA/IC... · Chapter 5 Thermal Processes 2006/9/27 2 ... •Describe thermal oxidation process •Explain the advantage of RTP

18

2006/9/27 35

Better Contamination Control

•Better uniformity for Laminar gas flow control

•Gas flow from top to bottom

•Particles has less chance to fall at the center ofthe wafers

2006/9/27 36

Better Wafer Handling

•High torque on paddle of horizontal when ithandle large amount of large diameter wafers

•Zero torque for wafer tower in vertical system

Page 19: Chapter 5 Thermal Processes - ntut.edu.t fabrication_GA/IC... · Chapter 5 Thermal Processes 2006/9/27 2 ... •Describe thermal oxidation process •Explain the advantage of RTP

19

2006/9/27 37

Summery of Hardware

•Furnaces are commonly used in thermal processes•Furnaces usually consist with control system, gas

delivery system, process tube or chamber, waferloading system, and exhaust system.

•Vertical furnace is more widely used due to itsmaller footprint, better contamination control,and lower maintenance.

•Precise temperature and its uniformity is vital forthe success of the thermal processes.

2006/9/27 38

Oxidation

Page 20: Chapter 5 Thermal Processes - ntut.edu.t fabrication_GA/IC... · Chapter 5 Thermal Processes 2006/9/27 2 ... •Describe thermal oxidation process •Explain the advantage of RTP

20

2006/9/27 39

Oxidation

•Introduction•Applications•Mechanism•Process•System•RTO

2006/9/27 40

Introduction

•Silicon reacts with oxygen•Stable oxide compound•Widely used in IC manufacturing

Si + O2 SiO2

Page 21: Chapter 5 Thermal Processes - ntut.edu.t fabrication_GA/IC... · Chapter 5 Thermal Processes 2006/9/27 2 ... •Describe thermal oxidation process •Explain the advantage of RTP

21

2006/9/27 41

Oxidation

SiliconDioxide

Silicon

O2 O2

O2 O2

O2O2

O2

O2

O2 O2

Original Silicon Surface

45%55%

O2

O2

O2

O2

O2

2006/9/27 42

Application of Oxidation

•Diffusion Masking Layer•Surface Passivation

–Screen oxide, pad oxide, barrier oxide

•Isolation–Field oxide and LOCOS (local oxidation of

silicon)

•Gate oxide

Page 22: Chapter 5 Thermal Processes - ntut.edu.t fabrication_GA/IC... · Chapter 5 Thermal Processes 2006/9/27 2 ... •Describe thermal oxidation process •Explain the advantage of RTP

22

2006/9/27 43

Diffusion Barrier

•Much lower B and P diffusion rates in SiO2than that in Si

•SiO2 can be used as diffusion mask

Si

Dopant

SiO2 SiO2

2006/9/27 44

Application, Surface Passivation

Si

SiO2

Pad Oxide Screen Oxide

Sacrificial Oxide Barrier Oxide

Normally thin oxide layer (~150Å) to protectsilicon defects from contamination and over-stress.

Page 23: Chapter 5 Thermal Processes - ntut.edu.t fabrication_GA/IC... · Chapter 5 Thermal Processes 2006/9/27 2 ... •Describe thermal oxidation process •Explain the advantage of RTP

23

2006/9/27 45

Screen Oxide

Photoresist Photoresist

Si Substrate

Dopant Ions

Screen Oxide

2006/9/27 46

USG

Pad and Barrier Oxides in STI Process

SiliconPad Oxide

Nitride

SiliconPad Oxide

Nitride

Silicon

USGBarrier Oxide

Trench Etch

Trench Fill

USG CMP; USG Anneal; Nitride and Pad Oxide Strip

Page 24: Chapter 5 Thermal Processes - ntut.edu.t fabrication_GA/IC... · Chapter 5 Thermal Processes 2006/9/27 2 ... •Describe thermal oxidation process •Explain the advantage of RTP

24

2006/9/27 47

Application, Pad Oxide

Silicon nitride

Silicon Substrate

Pad Oxide

•Relieve strong tensile stress of the nitride•Prevent stress induced silicon defects

2006/9/27 48

Application, Device Isolation

•Electronic isolation of neighboring devices•Blanket field oxidation•Local oxidation of silicon (LOCOS)•Thick oxide, usually 3,000 to 10,000 Å

Page 25: Chapter 5 Thermal Processes - ntut.edu.t fabrication_GA/IC... · Chapter 5 Thermal Processes 2006/9/27 2 ... •Describe thermal oxidation process •Explain the advantage of RTP

25

2006/9/27 49

Silicon

Silicon

Silicon

Silicon Dioxide

Field Oxide

Wafer Clean

Field Oxidation

Oxide Etch

Activation Area

Blanket Field Oxide Isolation

2006/9/27 50

LOCOS Process

Silicon nitride

P-type substrate

P-type substrate

Silicon nitride

p+p+ p+Isolation Doping

P-type substrate p+p+ p+Isolation Doping

SiO2

Pad Oxide

Pad oxidation, nitride deposition and patterning

LOCOS oxidation

Nitride and pad oxide strip

Bird’s Beak

SiO2

Page 26: Chapter 5 Thermal Processes - ntut.edu.t fabrication_GA/IC... · Chapter 5 Thermal Processes 2006/9/27 2 ... •Describe thermal oxidation process •Explain the advantage of RTP

26

2006/9/27 51

LOCOS•Compare with blanket field oxide

–Better isolation–Lower step height–Less steep sidewall

•Disadvantage–rough surface topography–Bird’s beak

•Recently replaced by shallow trench isolation(STI)

2006/9/27 52

Application, Sacrificial Oxide

N-WellP-WellSTI USG

N-WellP-WellSTI USG

N-WellP-WellSTI USG

Sacrificial Oxidation

Strip Sacrificial Oxide

Gate Oxidation

Sacrificial Oxide

Gate Oxide

•Defects removal from silicon surface

Page 27: Chapter 5 Thermal Processes - ntut.edu.t fabrication_GA/IC... · Chapter 5 Thermal Processes 2006/9/27 2 ... •Describe thermal oxidation process •Explain the advantage of RTP

27

2006/9/27 53

Application, Device Dielectric•Gate oxide: thinnest and most critical layer•Capacitor dielectric

Poly Si

Si Substrate

n+

Gate

Thin oxide

Source Drainp-Si

n+

VD > 0

Electrons

VG

2006/9/27 54

Oxide and It’s Applications

Name of the Oxide Thickness Application Time in application

Native 15 - 20 Å undesirable -

Screen ~ 200 Å Implantation Mid-70s to present

Masking ~ 5000 Å Diffusion 1960s to mid-1970s

Field and LOCOS 3000 - 5000 Å Isolation 1960s to 1990s

Pad 100 - 200 Å Nitride stress buffer 1960s to present

Sacrificial <1000 Å Defect removal 1970s to present

Gate 30 - 120 Å Gate dielectric 1960s to present

Barrier 100 - 200 Å STI 1980s to present

Page 28: Chapter 5 Thermal Processes - ntut.edu.t fabrication_GA/IC... · Chapter 5 Thermal Processes 2006/9/27 2 ... •Describe thermal oxidation process •Explain the advantage of RTP

28

2006/9/27 55

Silicon Dioxide Grown on ImproperlyCleaned Silicon Surface

2006/9/27 56

Pre-oxidation Wafer Clean

•Particulates•Organic residues•Inorganic residues•Native oxide layers

Page 29: Chapter 5 Thermal Processes - ntut.edu.t fabrication_GA/IC... · Chapter 5 Thermal Processes 2006/9/27 2 ... •Describe thermal oxidation process •Explain the advantage of RTP

29

2006/9/27 57

RCA Clean

•Developed by Kern and Puotinen in 1960 at RCA•Most commonly used clean processes in IC fabs•SC-1-- NH4OH:H2O2:H2O with 1:1:5 to 1:2:7 ratio

at 70 to 80 C to remove organic contaminants.•SC-2-- HCl:H2O2:H2Owith 1:1:6 to 1:2:8 ratio at

70 to 80 C to remove inorganic contaminates.•DI water rinse•HF dip or HF vapor etch to remove native oxide.

2006/9/27 58

•High purity deionized (DI) water orH2SO4:H2O2 followed by DI H2O rinse.

•High pressure scrub or immersion in heateddunk tank followed by rinse, spin dry and/ordry bake (100 to 125 °C).

Pre-oxidation Wafer CleanParticulate Removal

Page 30: Chapter 5 Thermal Processes - ntut.edu.t fabrication_GA/IC... · Chapter 5 Thermal Processes 2006/9/27 2 ... •Describe thermal oxidation process •Explain the advantage of RTP

30

2006/9/27 59

•Strong oxidants remove organic residues.•H2SO4:H2O2 or NH3OH:H2O2 followed by

DI H2O rinse.•High pressure scrub or immersion in heated

dunk tank followed by rinse, spin dry and/ordry bake (100 to 125 °C).

Pre-oxidation Wafer CleanOrganic Removal

2006/9/27 60

•HCl:H2O.•Immersion in dunk tank followed by rinse,

spin dry and/or dry bake (100 to 125 °C).

Pre-oxidation Wafer CleanInorganic Removal

Page 31: Chapter 5 Thermal Processes - ntut.edu.t fabrication_GA/IC... · Chapter 5 Thermal Processes 2006/9/27 2 ... •Describe thermal oxidation process •Explain the advantage of RTP

31

2006/9/27 61

•HF:H2O.•Immersion in dunk tank or single wafer

vapor etcher followed by rinse, spin dryand/or dry bake (100 to 125 °C).

Pre-oxidation Wafer CleanNative Oxide Removal

2006/9/27 62

Oxidation Mechanism

•Si + O2 SiO2

•Oxygen comes from gas•Silicon comes from substrate•Oxygen diffuse cross existing silicon

dioxide layer and react with silicon•The thicker of the film, the lower of the

growth rate

Page 32: Chapter 5 Thermal Processes - ntut.edu.t fabrication_GA/IC... · Chapter 5 Thermal Processes 2006/9/27 2 ... •Describe thermal oxidation process •Explain the advantage of RTP

32

2006/9/27 63

Oxide Growth Rate RegimeO

xide

Thi

ckne

ss

Oxidation Time

Linear Growth RegimeBA

X = t

Diffusion-limited Regime

X = B t

2006/9/27 64

<100> Silicon Dry Oxidation

2 4 6 8 10 12 14 16 18 20

0.2

0

0.4

0.6

0.8

1.0

1.2

Oxidation Time (hours)

Oxi

deT

hick

ness

(mic

ron)

1200 °C

1150 °C

1100 °C

1050 °C

1000 °C

950 °C

900 °C

<100> Silicon Dry Oxidation

Page 33: Chapter 5 Thermal Processes - ntut.edu.t fabrication_GA/IC... · Chapter 5 Thermal Processes 2006/9/27 2 ... •Describe thermal oxidation process •Explain the advantage of RTP

33

2006/9/27 65

Wet (Steam) Oxidation

•Si + 2H2O SiO2 + 2H2

•At high temperature H2O is dissociated to Hand H-O

•H-O diffuses faster in SiO2 than O2

•Wet oxidation has higher growth rate thandry oxidation.

2006/9/27 66

<100> Silicon Wet Oxidation Rate

2 4 6 8 10 12 14 16 18 20

0.5

0

1.0

1.5

2.0

2.5

3.0

Oxidation Time (hours)

Oxi

deT

hick

ness

(mic

ron)

1150 °C

1100 °C

1050 °C

1000 °C

950 °C

900 °C

<100> Silicon Wet Oxidation

Page 34: Chapter 5 Thermal Processes - ntut.edu.t fabrication_GA/IC... · Chapter 5 Thermal Processes 2006/9/27 2 ... •Describe thermal oxidation process •Explain the advantage of RTP

34

2006/9/27 67

2006/9/27 68

Factors on Oxidation Rate

•Temperature•Chemistry, wet or dry oxidation•Thickness•Pressure•Wafer orientation (<100> vs. <111>)•Silicon dopant

Page 35: Chapter 5 Thermal Processes - ntut.edu.t fabrication_GA/IC... · Chapter 5 Thermal Processes 2006/9/27 2 ... •Describe thermal oxidation process •Explain the advantage of RTP

35

2006/9/27 69

•Oxidation rate is very sensitive(exponentially related) to temperature

•Higher temperature will have much higheroxidation rate.

•The higher of temperature is, the higher ofthe chemical reaction rate between oxygenand silicon is and the higher diffusion rateof oxygen in silicon dioxide is.

Oxidation RateTemperature

2006/9/27 70

Oxidation RateWafer Orientation

•<111> surface has higher oxidation ratethan <100> surface.

•More silicon atoms on the surface.

Page 36: Chapter 5 Thermal Processes - ntut.edu.t fabrication_GA/IC... · Chapter 5 Thermal Processes 2006/9/27 2 ... •Describe thermal oxidation process •Explain the advantage of RTP

36

2006/9/27 71

Wet Oxidation Rate

1 2 3 40Oxidation Time (hours)

0.4

0.8

1.2

1.6

0.2

0.6

1.0

1.4

1.8O

xide

Thi

ckne

ss(m

icro

n)

<111> Orientation

95 °C Water

1200 °C

1100 °C

1000 °C

920 °C

2006/9/27 72

•Dopant elements and concentration•Highly phosphorus doped silicon has higher

growth rate, less dense film and etch faster.•Generally highly doped region has higher

grow rate than lightly doped region.•More pronounced in the linear stage (thin

oxides) of oxidation.

Oxidation RateDopant Concentration

Page 37: Chapter 5 Thermal Processes - ntut.edu.t fabrication_GA/IC... · Chapter 5 Thermal Processes 2006/9/27 2 ... •Describe thermal oxidation process •Explain the advantage of RTP

37

2006/9/27 73

Oxidation: DopantsPile-up and Depletion Effects

•N-type dopants (P, As, Sb) have highersolubility in Si than in SiO2, when SiO2grow they move into silicon, it is callpile-up or snowplow effect.

•Boron tends to go to SiO2, it is calleddepletion effect.

2006/9/27 74

Depletion and Pile-up Effects

Si-SiO2 interface

SiO2

Dop

antC

once

ntra

tion

Si

Si-SiO2 interface

SiO2

Dop

antC

once

ntra

tion

Si

P-type Dopant Depletion N-type dopant Pile-up

Original Si Surface Original Si Surface

Original Distribution

Page 38: Chapter 5 Thermal Processes - ntut.edu.t fabrication_GA/IC... · Chapter 5 Thermal Processes 2006/9/27 2 ... •Describe thermal oxidation process •Explain the advantage of RTP

38

2006/9/27 75

Oxidation RateDoped oxidation (HCl)

•HCl is used to reduce mobile ion contamination.•Widely used for gate oxidation process.•Growth rate can increase from 1 to 5 percent.

2006/9/27 76

Oxidation RateDifferential Oxidation

•The thicker of the oxide film is, the slower ofthe oxidation rate is.

•Oxygen need more time to diffuse cross theexisting oxide layer to react with substratesilicon.

Page 39: Chapter 5 Thermal Processes - ntut.edu.t fabrication_GA/IC... · Chapter 5 Thermal Processes 2006/9/27 2 ... •Describe thermal oxidation process •Explain the advantage of RTP

39

2006/9/27 77

Pre-oxidation Clean

•Thermally grown SiO2 is amorphous.•Tends to cross-link to form a crystal•In nature, SiO2 exists as quartz and sand•Defects and particles can be the nucleation sites•Crystallized SiO2 with poor barrier capability.

•Need clean silicon surface before oxidation.

2006/9/27 78

Oxidation Process

•Dry Oxidation, thin oxide–Gate oxide–Pad oxide, screen oxide, sacrificial oxide, etc.

•Wet Oxidation, thick oxide–Field oxide–Diffusion masking oxide

Page 40: Chapter 5 Thermal Processes - ntut.edu.t fabrication_GA/IC... · Chapter 5 Thermal Processes 2006/9/27 2 ... •Describe thermal oxidation process •Explain the advantage of RTP

40

2006/9/27 79

Dry Oxidation System

ToProcessTube

MFC

MFC

MFC

Control Valves

Regulator

Proc

ess

N2

Purg

eN

2

O2

HC

l

MFC

2006/9/27 80

Dry Oxidation

•Dry O2 as the main process gas•HCl is used to remove mobile ions for

gate oxidation•High purity N2 as process purge gas•Lower grade N2 as idle purge gas

Page 41: Chapter 5 Thermal Processes - ntut.edu.t fabrication_GA/IC... · Chapter 5 Thermal Processes 2006/9/27 2 ... •Describe thermal oxidation process •Explain the advantage of RTP

41

2006/9/27 81

Gate Oxidation Steps

•Idle with purge N2 flow•Idle with process N2 flow•Wafer boats push-in with process N2 flow•Temperature ramp-up with process N2 flow•Temperature stabilization with process N2 flow•Oxidation with O2, HCl, stop N2 flow

2006/9/27 82

Dangling Bonds and Interface Charge

SiO2

Si

+ + + + +

DanglingBond

Si-SiO2Interface

Interface State Charge (Positive)

Page 42: Chapter 5 Thermal Processes - ntut.edu.t fabrication_GA/IC... · Chapter 5 Thermal Processes 2006/9/27 2 ... •Describe thermal oxidation process •Explain the advantage of RTP

42

2006/9/27 83

Gate Oxidation Steps, Continue

•Oxide annealing, stop O2, start process flow N2

•Temperature cool-down with process N2 flow•Wafer boats pull-out with process N2 flow•Idle with process N2 flow•Next boats and repeat process•Idle with purge N2 flow

2006/9/27 84

Wet Oxidation Process

•Faster, higher throughput•Thick oxide, such as LOCOS•Dry oxide has better quality

Process Temperature Film Thickness Oxidation Time

Dry oxidation 1000 °C 1000 Å ~ 2 hours

Wet oxidation 1000 °C 1000 Å ~ 12 minutes

Page 43: Chapter 5 Thermal Processes - ntut.edu.t fabrication_GA/IC... · Chapter 5 Thermal Processes 2006/9/27 2 ... •Describe thermal oxidation process •Explain the advantage of RTP

43

2006/9/27 85

Wet Oxidation Process Steps

• Idle with purge N2 flow• Idle with process N2 flow•Ramp O2 with process N2 flow•Wafer boat push-in with process N2 and O2 flows•Temperature ramp-up with process N2 and O2 flows•Temperature stabilization with process N2 and O2 flows•Ramp O2, turn-off N2 flow•Stabilize the O2 flow

2006/9/27 86

Wet Oxidation Process Steps

•Turn-on H2 flow, ignition and H2 flow stabilization•Steam oxidation with O2 and H2 flow•Hydrogen termination, turn-off H2 while keeping O2 flow•Oxygen termination, turn-off O2 start process N2 flow•Temperature ramp-down with process N2 flow•Wafer boat pull-out with process N2 flow• Idle with process N2 flow•Next boats and repeat process• Idle with purge N2 flow

Page 44: Chapter 5 Thermal Processes - ntut.edu.t fabrication_GA/IC... · Chapter 5 Thermal Processes 2006/9/27 2 ... •Describe thermal oxidation process •Explain the advantage of RTP

44

2006/9/27 87

Rapid Thermal Oxidation

•For gate oxidation of deep sub-micron device•Very thin oxide film, < 30 Å•Need very good control of temperature

uniformity, WIW and WTW.•Due to reduced feature size, RTO will be used

to achieve the device requirement.

2006/9/27 88

RTP Process Diagram

Time

Rampup 1& 2

Cooldown

RTALoadwafer

Unloadwafer

RTO

O2 flow

N2 flow

HCl flow

Temperature

Page 45: Chapter 5 Thermal Processes - ntut.edu.t fabrication_GA/IC... · Chapter 5 Thermal Processes 2006/9/27 2 ... •Describe thermal oxidation process •Explain the advantage of RTP

45

2006/9/27 89

High Pressure Oxidation

•Faster growth rate•Reducing oxidation temperature:

–1 amt. = –30 °C

•Higher dielectric strength

2006/9/27 90

High Pressure Oxidation

High PressureInert Gas

High PressureOxidant Gas

Stainless Steel Jacket

Quartz Process Chamber

Page 46: Chapter 5 Thermal Processes - ntut.edu.t fabrication_GA/IC... · Chapter 5 Thermal Processes 2006/9/27 2 ... •Describe thermal oxidation process •Explain the advantage of RTP

46

2006/9/27 91

High Pressure Oxidation

Temperature Pressure Time

1 atmosphere 5 hours

1000 C 5 atmosphere 1 hour

25 atmosphere 12 minutes

Oxidation time to grow 10,000 Å wet oxide

2006/9/27 92

High Pressure Oxidation

Time Pressure Temperature

1 atmosphere 1000 C

5 hours 10 atmosphere 700 C

Oxidation temperature to grow 10,000 Å wet oxide in 5 hours

Page 47: Chapter 5 Thermal Processes - ntut.edu.t fabrication_GA/IC... · Chapter 5 Thermal Processes 2006/9/27 2 ... •Describe thermal oxidation process •Explain the advantage of RTP

47

2006/9/27 93

High Pressure Oxidation

•Requires complex system•Concerns safety issues•Not widely used in IC production

today

2006/9/27 94

Oxide Measurement

•Thickness•Uniformity

•Color chart•Ellipsometry•Reflectometry

•Gate oxide•Break down

voltage•C-V

characteristics

Page 48: Chapter 5 Thermal Processes - ntut.edu.t fabrication_GA/IC... · Chapter 5 Thermal Processes 2006/9/27 2 ... •Describe thermal oxidation process •Explain the advantage of RTP

48

2006/9/27 95

Linearly Polarized Incident Light

Elliptically PolarizedReflected Light

n1, k1, t1

n2, k2

sp

Ellipsometry

To measure film refractive index and thickness

2006/9/27 96

t

21

Substrate

Dielectric film, n()

Incident light

Human eye orphotodetector

Reflectometry - film color difference

Page 49: Chapter 5 Thermal Processes - ntut.edu.t fabrication_GA/IC... · Chapter 5 Thermal Processes 2006/9/27 2 ... •Describe thermal oxidation process •Explain the advantage of RTP

49

2006/9/27 97

C-V Test Configuration

CapacitorMeter

Aluminum

Metal Platform

Silicon

Oxide

Large Resistor

HeaterHeater

2006/9/27 98

Summary of Oxidation•Oxidation of silicon•High stability and relatively easy to form.•Applications

–Isolation, masking, pad, barrier, gate, and etc.

•Wet and Dry oxidation•More dry processes for advanced IC chips•Rapid thermal oxidation and annealing for

ultra-thin gate oxide

Page 50: Chapter 5 Thermal Processes - ntut.edu.t fabrication_GA/IC... · Chapter 5 Thermal Processes 2006/9/27 2 ... •Describe thermal oxidation process •Explain the advantage of RTP

50

2006/9/27 99

Diffusion Process

2006/9/27 100

Diffusion

•Most common physics phenomena•Materials disperse from higher concentration to lower

concentration region•Silicon dioxide as diffusion mask•Was widely used for semiconductor doping•Predeposition step and then drive-in•Predeposition step includes solid (B or P disks),

liquid (POCl3) or gas (B2H6, AsH3, PH3) sources•Need a dedicated tube to avoid cross-contamination !

Page 51: Chapter 5 Thermal Processes - ntut.edu.t fabrication_GA/IC... · Chapter 5 Thermal Processes 2006/9/27 2 ... •Describe thermal oxidation process •Explain the advantage of RTP

51

2006/9/27 101

Illustration of Diffusion Doping

Dopant

Silicon

2006/9/27 102

Illustration of Diffusion Doping

Dopant

Silicon

Junction Depth

After a certain thermal budget

Page 52: Chapter 5 Thermal Processes - ntut.edu.t fabrication_GA/IC... · Chapter 5 Thermal Processes 2006/9/27 2 ... •Describe thermal oxidation process •Explain the advantage of RTP

52

2006/9/27 103

Definition of Junction depth

Background dopant concentration

Junction Depth, xj

Distance from the wafer surface

Dopant Concentration

Dop

antC

once

ntra

tion

2006/9/27 104

Diffusion

N-Silicon

Masking Oxide

N-Siliconp+ p+

Masking Oxide

Page 53: Chapter 5 Thermal Processes - ntut.edu.t fabrication_GA/IC... · Chapter 5 Thermal Processes 2006/9/27 2 ... •Describe thermal oxidation process •Explain the advantage of RTP

53

2006/9/27 105

Thermal Budget•Dopant atoms diffuse fast at high temperature

D = D0 exp (–EA/kT)•Smaller device geometry has less room for

dopant thermal diffusion, i.e. less thermalbudget

•Thermal budget determines the appropriatetime and temperature of the post-source/drainthermal processes

2006/9/27 106

Illustration of Thermal Budget

S/D Implantation Over Thermal Budget

Gate

Page 54: Chapter 5 Thermal Processes - ntut.edu.t fabrication_GA/IC... · Chapter 5 Thermal Processes 2006/9/27 2 ... •Describe thermal oxidation process •Explain the advantage of RTP

54

2006/9/27 107

1 m

Thermal Budget

1

10

100

1000

104/T (K)7 8 9 10

10001100 900 800

2 m

0.5 m

0.25 m

Ther

mal

Bud

get(

sec)

T (C)

Source: Changand Sze, ULSITechnology

2006/9/27 108

Diffusion Doping Process

•Both dopant concentration and junction depthare related to temperature.

•No way to independently control both factors•Isotropic dopant profile•Mostly replaced by ion implantation after the

mid-1970s.

Page 55: Chapter 5 Thermal Processes - ntut.edu.t fabrication_GA/IC... · Chapter 5 Thermal Processes 2006/9/27 2 ... •Describe thermal oxidation process •Explain the advantage of RTP

55

2006/9/27 109

Diffusion Doping Process

•Silicon dioxide as hard mask•Deposit dopant oxide (thermal proc.)•Cap oxidation : the oxide layer over

the active region–prevent dopant back-diffusion into gas

phase

•Drive-in (thermal proc.)

2006/9/27 110

Diffusion Doping Process•Oxidation, photolithography and oxide etch•Pre-deposition:

B2H6 + 2 O2 B2O3 + 3 H2O•Cap oxidation:

2 B2O3 + 3 Si 3 SiO2 + 4 B2 H2O + Si SiO2 + 2 H2

•Drive-in–Boron diffuses into silicon substrate

Page 56: Chapter 5 Thermal Processes - ntut.edu.t fabrication_GA/IC... · Chapter 5 Thermal Processes 2006/9/27 2 ... •Describe thermal oxidation process •Explain the advantage of RTP

56

2006/9/27 111

Diffusion Doping Process•Oxidation, photolithography and oxide etch•Deposit dopant oxide:

4POCl3 + 3O2 2P2O5 + 3Cl2

•Cap oxidation2P2O5 + 5Si 5SiO2 + 4P

–Phosphorus concentrates on silicon surface

•Drive-in–Phosphorus diffuses into silicon substrate

2006/9/27 112

Phosphorus Diffusion System

MFC

MFC

MFC

Control Valves

Regulator

Proc

ess

N2

Purg

eN

2

O2

POC

l 3

MFC

Scrubbier

Exhaust

Process Tube

Wafers Burn Box

Page 57: Chapter 5 Thermal Processes - ntut.edu.t fabrication_GA/IC... · Chapter 5 Thermal Processes 2006/9/27 2 ... •Describe thermal oxidation process •Explain the advantage of RTP

57

2006/9/27 113

Si Substrate

Wafer Clean

2006/9/27 114

Si Substrate

Oxidation

SiO2

Page 58: Chapter 5 Thermal Processes - ntut.edu.t fabrication_GA/IC... · Chapter 5 Thermal Processes 2006/9/27 2 ... •Describe thermal oxidation process •Explain the advantage of RTP

58

2006/9/27 115

Si Substrate

Doped Area Patterning

SiO2

PR

2006/9/27 116

Si Substrate

Etch Silicon Dioxide

SiO2

PR

Page 59: Chapter 5 Thermal Processes - ntut.edu.t fabrication_GA/IC... · Chapter 5 Thermal Processes 2006/9/27 2 ... •Describe thermal oxidation process •Explain the advantage of RTP

59

2006/9/27 117

Si Substrate

Strip Photoresist

SiO2

2006/9/27 118

Si Substrate

Wafer Clean

SiO2

Page 60: Chapter 5 Thermal Processes - ntut.edu.t fabrication_GA/IC... · Chapter 5 Thermal Processes 2006/9/27 2 ... •Describe thermal oxidation process •Explain the advantage of RTP

60

2006/9/27 119

Si Substrate

Dopant Oxide Deposition

SiO2

Deposited Dopant Oxide

2006/9/27 120

Si Substrate

Cap Oxidation

SiO2

Page 61: Chapter 5 Thermal Processes - ntut.edu.t fabrication_GA/IC... · Chapter 5 Thermal Processes 2006/9/27 2 ... •Describe thermal oxidation process •Explain the advantage of RTP

61

2006/9/27 121

Phosphoric Oxide Deposition andCap Oxidation

N2 Flow

POCl3 Flow

O2 Flow

Push PullTempRamp

Temp.Stab.

Dopant Deposition CapOxide

RampDown

WaferPosition

Temperature

N2Vent

2006/9/27 122

Drive-in

Si Substrate

SiO2

Page 62: Chapter 5 Thermal Processes - ntut.edu.t fabrication_GA/IC... · Chapter 5 Thermal Processes 2006/9/27 2 ... •Describe thermal oxidation process •Explain the advantage of RTP

62

2006/9/27 123

Si Substrate

Strip Oxide, Ready for Next Step

SiO2

2006/9/27 124

Phosphorus Drive-in

Temperature

N2 Flow

O2 Flow

Push TempRamp

Temp.Stab.

Drive-in

WaferPosition

PullRampDown

Stab.

Page 63: Chapter 5 Thermal Processes - ntut.edu.t fabrication_GA/IC... · Chapter 5 Thermal Processes 2006/9/27 2 ... •Describe thermal oxidation process •Explain the advantage of RTP

63

2006/9/27 125

Limitations and Applications

•Diffusion is isotropic process and alwaysdope underneath masking oxide

•Can’t independently control junction depthand dopant concentration

•Still used for well implantation & drive-in•R&D for ultra shallow junction (USJ)

formation

2006/9/27 126

Application of Diffusion: Drive-in

•Wells have the deepest junction depth•Need very high ion implantation energy•Cost of MeV ion implanters is very high•Diffusion can help to drive dopant to the

desired junction depth while annealingwafers

Page 64: Chapter 5 Thermal Processes - ntut.edu.t fabrication_GA/IC... · Chapter 5 Thermal Processes 2006/9/27 2 ... •Describe thermal oxidation process •Explain the advantage of RTP

64

2006/9/27 127

Well Implantation and Drive-in

P-Epi

Photoresist

N-Well

P+

P-Epi N-Well

2006/9/27 128

Diffusion for Boron USJ Formation

•Small devices needs ultra shallow junction•Boron is small and light, implanter energy

could be too high for it goes too deep•Controlled thermal diffusion is used in

R&D for shallow junction formation

Page 65: Chapter 5 Thermal Processes - ntut.edu.t fabrication_GA/IC... · Chapter 5 Thermal Processes 2006/9/27 2 ... •Describe thermal oxidation process •Explain the advantage of RTP

65

2006/9/27 129

Surface Clean

Si SubstrateSTI STI

Silicide

Sidewall Spacer Sidewall Spacer

2006/9/27 130

BSG CVD

Si SubstrateSTI STI

Boron-Silicate Glass

Silicide

Sidewall Spacer Sidewall Spacer

Page 66: Chapter 5 Thermal Processes - ntut.edu.t fabrication_GA/IC... · Chapter 5 Thermal Processes 2006/9/27 2 ... •Describe thermal oxidation process •Explain the advantage of RTP

66

2006/9/27 131

RTP Dopant Drive-in

Si SubstrateSTI

Boro-Silicate Glass

STI

SilicidePolysilicon

Gate Oxide

2006/9/27 132

Strip BSG

Si SubstrateSTISTI

SilicidePolysiliconGate Oxide

Page 67: Chapter 5 Thermal Processes - ntut.edu.t fabrication_GA/IC... · Chapter 5 Thermal Processes 2006/9/27 2 ... •Describe thermal oxidation process •Explain the advantage of RTP

67

2006/9/27 133

FourFour--Point Probe MeasurementPoint Probe Measurement

S1 S2 S3

P1 P2 P3 P4

V

I

Substrate

Doped Region

Rs = l/wt

2006/9/27 134

Summary of Diffusion

•Physics of diffusion process is wellunderstood

•Diffusion was widely used in dopingprocesses in early IC manufacturing andrapidly replaced by ion implantation sincethe mid-1970s

•Some R&D work may still adopt diffusionprocess in view of cost

Page 68: Chapter 5 Thermal Processes - ntut.edu.t fabrication_GA/IC... · Chapter 5 Thermal Processes 2006/9/27 2 ... •Describe thermal oxidation process •Explain the advantage of RTP

68

2006/9/27 135

Annealing and RTP Processes

2006/9/27 136

Post-implantation Annealing

•Energetic ions damage crystal structureafter implantation

•Amorphous silicon has high resistivity•Need external energy such as heat for atoms

to recover single crystal structure•Only in single crystal structure dopants can

be activated to provide electrons or holes asthe majority carriers

Page 69: Chapter 5 Thermal Processes - ntut.edu.t fabrication_GA/IC... · Chapter 5 Thermal Processes 2006/9/27 2 ... •Describe thermal oxidation process •Explain the advantage of RTP

69

2006/9/27 137

Post-implantation Annealing•Single-crystal structure has lowest potential energy•Atoms tend to stop on lattice grid•Heat can provide external energy to atoms for fast

thermal motion•Atoms will find and settle at the lattice grid where

has the lowest potential energy•Higher temperature, faster annealing•Furnace tube or RTA facility, the latter has much

better wafer-to-wafer (WTW) temperatureuniformity control

2006/9/27 138

Before Ion Implantation

Lattice Atoms

Page 70: Chapter 5 Thermal Processes - ntut.edu.t fabrication_GA/IC... · Chapter 5 Thermal Processes 2006/9/27 2 ... •Describe thermal oxidation process •Explain the advantage of RTP

70

2006/9/27 139

After Ion Implantation

Dopant AtomLattice Atoms

2006/9/27 140

Thermal Annealing

Dopant AtomLattice Atoms

Page 71: Chapter 5 Thermal Processes - ntut.edu.t fabrication_GA/IC... · Chapter 5 Thermal Processes 2006/9/27 2 ... •Describe thermal oxidation process •Explain the advantage of RTP

71

2006/9/27 141

Thermal Annealing

Dopant AtomsLattice Atoms

2006/9/27 142

Alloy Annealing•A thermal process in which different atoms

chemically bond with each other to form ametal alloy.

•Widely used in silicide formation•Self aligned silicide (salicide)

–Titanium silicide, TiSi2

–Cobalt silicide, CoSi2

•Furnace process and RTP are often employed

Page 72: Chapter 5 Thermal Processes - ntut.edu.t fabrication_GA/IC... · Chapter 5 Thermal Processes 2006/9/27 2 ... •Describe thermal oxidation process •Explain the advantage of RTP

72

2006/9/27 143

Silicide•Much lower resistivity than polysilicon•Used as gate and local interconnection•Used as capacitor electrodes•Improving device speed and reduce heat

generation•TiSi2, WSi2 are the most commonly used

silicide•CoSi2, MoSi2, and etc are also used

2006/9/27 144

Titanium Silicide Process

•Argon sputtering clean•Titanium PVD•RTP Anneal, ~700 °C•Strip titanium, H2O2:H2SO2

Page 73: Chapter 5 Thermal Processes - ntut.edu.t fabrication_GA/IC... · Chapter 5 Thermal Processes 2006/9/27 2 ... •Describe thermal oxidation process •Explain the advantage of RTP

73

2006/9/27 145

Titanium Silicide ProcessTitanium

n+n+STI p+ p+

USG

Polysilicon

n+n+ USGUSGSTI

Titanium Silicide

n+n+ USG p+ p+USGSTI

Titanium SilicideSidewall Spacer

Ti Deposition

Annealing

Ti Strip

p+ p+

2006/9/27 146

Aluminum-silicon Alloy•Form on silicon surface•Prevent junction spiking due to silicon

dissolving in aluminum

p+p+

n-type Silicon

AlAl AlSiO2

Page 74: Chapter 5 Thermal Processes - ntut.edu.t fabrication_GA/IC... · Chapter 5 Thermal Processes 2006/9/27 2 ... •Describe thermal oxidation process •Explain the advantage of RTP

74

2006/9/27 147

Reflow

•Flowed surface is smoother and flatter•Easier for photolithography and metallization•Higher temperature, better reflow result•Reflow time and temperature are determined by

the thermal budget•Higher dopant concentration requires lower

reflow temperature

2006/9/27 148

Illustration of BPSG Reflow

P-type substrate

p+p+

N-well

SiO2n+n+ p+ p+LOCOSPSG

P-type substrate

p+p+N-well

SiO2n+n+ p+ p+LOCOSPSG

AsDeposit

AfterReflow

Page 75: Chapter 5 Thermal Processes - ntut.edu.t fabrication_GA/IC... · Chapter 5 Thermal Processes 2006/9/27 2 ... •Describe thermal oxidation process •Explain the advantage of RTP

75

2006/9/27 149

PSG Reflow Results

2006/9/27 150

Reflow•Undoped silicate glass (USG) becomes soft at

very high temperature T > 1500 °C, will flowdue to the surface tension

•PSG and BPSG become soft at significantlower temperature (< 1100 °C down to 850 °C)

•Phosphorus also can trap sodium•PSG and BPSG is commonly used as pre-metal

dielectric (PMD)

Page 76: Chapter 5 Thermal Processes - ntut.edu.t fabrication_GA/IC... · Chapter 5 Thermal Processes 2006/9/27 2 ... •Describe thermal oxidation process •Explain the advantage of RTP

76

2006/9/27 151

Summary of Anneal

•The most commonly used anneal processes arepost-implantation annealing, alloy annealing andreflow

•Thermal anneal is required after ion implantationfor recover crystal structure and activationdopant atoms

•Thermal anneal helps metal to react with siliconto form silicides

2006/9/27 152

Summary of Anneal

•Metal anneal helps to form larger grain size andreduces the resistivity

•PSG or BPSG reflow smoothens and flattens thedielectric surface and helps photolithographyand metallization processes

•RTP becomes more commonly used in annealingprocesses

Page 77: Chapter 5 Thermal Processes - ntut.edu.t fabrication_GA/IC... · Chapter 5 Thermal Processes 2006/9/27 2 ... •Describe thermal oxidation process •Explain the advantage of RTP

77

2006/9/27 153

Summary of Anneal

•Advantages of RTP–Much faster ramp rate (75 to 150 °C/sec)–Higher temperature (up to 1200 °C)–Faster process–Minimize the dopant diffusion–Better control of thermal budget–Better wafer to wafer uniformity control

2006/9/27 154

High TemperatureChemical Vapor Deposition

Processes

Page 78: Chapter 5 Thermal Processes - ntut.edu.t fabrication_GA/IC... · Chapter 5 Thermal Processes 2006/9/27 2 ... •Describe thermal oxidation process •Explain the advantage of RTP

78

2006/9/27 155

What is CVD ?

•Gas(es) or vapor(s) chemically react onsubstrate surface and form solid byproduct onthe surface as deposited thin film.

Chemical Vapor Deposition

•Other byproducts are gases and leave thesurface.

•Widely used in IC processing for metal,dielectric and silicon thin film deposition.

2006/9/27 156

High Temperature CVD

•Epitaxy•Polysilicon•LPCVD Silicon Nitride

Page 79: Chapter 5 Thermal Processes - ntut.edu.t fabrication_GA/IC... · Chapter 5 Thermal Processes 2006/9/27 2 ... •Describe thermal oxidation process •Explain the advantage of RTP

79

2006/9/27 157

Epitaxy

•Monocrystralline layer•Epitaxy silicon•Epitaxy silicon-germanium•Epitaxy GaAs

2006/9/27 158

Epitaxy Silicon

•Provide high quality silicon substrate withouttrace amount of oxygen and carbon.

•Required for bipolar devices.•Needed for high performance CMOS devices.

Page 80: Chapter 5 Thermal Processes - ntut.edu.t fabrication_GA/IC... · Chapter 5 Thermal Processes 2006/9/27 2 ... •Describe thermal oxidation process •Explain the advantage of RTP

80

2006/9/27 159

Epitaxy Silicon•High temperature (~1000 °C) processes.•Silane (SiH4), DCS (SiH2Cl2) or TCS

(SiHCl3) as silicon source gases.•Hydrogen as process gas and purge gas•Arsine (AsH3), Phosphine (PH3), and

Diborane (B2H6) are used as dopant gases.

2006/9/27 160

Epitaxy Silicon Deposition

•Silane processHeat (1000 C)

SiH4 Si + H2Silane Epi-Si Hydrogen

•DCS processHeat (1150 C)

SiH2Cl2 Si + 2HClSilane Epi-Si Hydrochloride

Page 81: Chapter 5 Thermal Processes - ntut.edu.t fabrication_GA/IC... · Chapter 5 Thermal Processes 2006/9/27 2 ... •Describe thermal oxidation process •Explain the advantage of RTP

81

2006/9/27 161

Epitaxy Silicon Doping

•N-type Dopant

Heat (1000 C)

AsH3 As + 3/2 H2Arsine As Hydrogen

Heat (1000 C)

PH3 P + 3/2 H2Arsine Phosphorus Hydrogen

2006/9/27 162

Epitaxy Silicon Doping

•P-type Dopant

Heat (1000 C)

B2H6 2 B + 3 H2Diborane Boron Hydrogen

Page 82: Chapter 5 Thermal Processes - ntut.edu.t fabrication_GA/IC... · Chapter 5 Thermal Processes 2006/9/27 2 ... •Describe thermal oxidation process •Explain the advantage of RTP

82

2006/9/27 163

Epitaxy Silicon•Usually deposited (“grown”) by wafer

manufacturer instead by IC fab.•In fab epi process: special needs such as

dopant concentration and epi thickness.•Selective epi for raised source/drain.•Single wafer epitaxy process.

2006/9/27 164

Polysilicon

•High temperature stability.•Reasonable good conductivity.•Widely used for the gate and local

interconnection in MOS devices.•Also widely used as the capacitor electrodes

in memory devices, especially DRAM.

Page 83: Chapter 5 Thermal Processes - ntut.edu.t fabrication_GA/IC... · Chapter 5 Thermal Processes 2006/9/27 2 ... •Describe thermal oxidation process •Explain the advantage of RTP

83

2006/9/27 165

Polysilicon Applications in DRAM

Poly 5

Poly 4

Poly 2

Poly 3

Poly 1

TiSi2

Ta2O5 or BST

p-Siliconn+n+ n+

SidewallSpacer

2006/9/27 166

Polysilicon

•High temperature (~700 °C) furnaceLPCVD processes.

•Silane (SiH4) or DCS (SiH2Cl2) as siliconsource gases.

•Nitrogen as purge gas•Arsine (AsH3), Phosphine (PH3), and

Diborane (B2H6) are used as dopant gases.

Page 84: Chapter 5 Thermal Processes - ntut.edu.t fabrication_GA/IC... · Chapter 5 Thermal Processes 2006/9/27 2 ... •Describe thermal oxidation process •Explain the advantage of RTP

84

2006/9/27 167

Polysilicon Deposition

•Silane processHeat (750 C)

SiH4 Si + H2Silane Poly-Si Hydrogen

•DCS processHeat (750 C)

SiH2Cl2 Si + 2HClSilane Poly-Si Hydrochlride

2006/9/27 168

Polysilicon Doping

•N-type Dopant

Heat (750 C)

AsH3 As + 3/2 H2Arsine As Hydrogen

Heat (750 C)

PH3 P + 3/2 H2Phosphine Phosphorus Hydrogen

Page 85: Chapter 5 Thermal Processes - ntut.edu.t fabrication_GA/IC... · Chapter 5 Thermal Processes 2006/9/27 2 ... •Describe thermal oxidation process •Explain the advantage of RTP

85

2006/9/27 169

Polysilicon Doping

•P-type Dopant

Heat (750 C)

B2H6 2 B + 3 H2Diborane Boron Hydrogen

2006/9/27 170

Temperature Relationship ofSilane Process

•On single crystal silicon substrate•Silane as source gases•T > 900 °C deposit single crystal silicon•900 °C > T > 550 °C deposit polysilicon•T < 550 °C deposit amorphous silicon

Page 86: Chapter 5 Thermal Processes - ntut.edu.t fabrication_GA/IC... · Chapter 5 Thermal Processes 2006/9/27 2 ... •Describe thermal oxidation process •Explain the advantage of RTP

86

2006/9/27 171

Temperature and Crystal Structurefor Silane Processes

T<550 °CAmorphous Si

T > 900 °CSingle Crystal Si

550 °C <T< 900 °CPolysilicon

Grain

GrainBoundary

2006/9/27 172

Polysilicon LPCVD System

MFC

MFC

Control Valves

Regulator

Proc

ess

N2

Purg

eN

2

SiH

4

MFC

Scrubbier

Exhaust

Process Tube

Wafers Burn Box

Page 87: Chapter 5 Thermal Processes - ntut.edu.t fabrication_GA/IC... · Chapter 5 Thermal Processes 2006/9/27 2 ... •Describe thermal oxidation process •Explain the advantage of RTP

87

2006/9/27 173

Polysilicon Deposition Process

• Idle with purge N2 flow• Idle with process N2 flow• Wafer load into tower with

process N2 flow• Tower raises into process

chamber (bell jar) with process N2flow

• Pump down chamber to basepressure (< 2 mTorr) by turning-off N2 flow

• Stabilize wafer temperature withN2 flow and leak check

• Set up process pressure (~250 mTorr)and with N2 flow

• Turn-on SiH4 flow and turn-off N2,start deposition

• Close gate valve, fill N2 and ramp-uppressure to atmospheric pressure

• Tower lowed and wafer temperaturecooled down, with process N2 flow

• Unload wafer with process N2 flow• Idle with purge N2 flow

2006/9/27 174

Polysilicon Deposition Process

ChamberTemperature

WaferTemperature

N2 Flow

Silane Flow

ChamberPressure

LoadWafer

UnloadWafer

RaiseTower

PumpDown

Temp.Stab.

PumpDown

Press.Stab.

Si3N4Dep.

PumpDown

N2Vent

LowerTower

Wafer TowerPosition

Page 88: Chapter 5 Thermal Processes - ntut.edu.t fabrication_GA/IC... · Chapter 5 Thermal Processes 2006/9/27 2 ... •Describe thermal oxidation process •Explain the advantage of RTP

88

2006/9/27 175

Silicon Nitride

•Dense material•Widely used as diffusion barrier layer and

passivation layer•LPCVD (front-end) and PECVD (back-end)•LPCVD nitride usually is deposited in a furnace

2006/9/27 176

Application of Silicon Nitride

•LOCOS formation as oxygen diffusion barrier•STI formation as oxide CMP stop•PMD barrier layer•Etch stop layer•Passivation

Page 89: Chapter 5 Thermal Processes - ntut.edu.t fabrication_GA/IC... · Chapter 5 Thermal Processes 2006/9/27 2 ... •Describe thermal oxidation process •Explain the advantage of RTP

89

2006/9/27 177

LOCOS Process

Silicon nitride

P-type substrate

P-type substrate

Silicon nitride

p+p+ p+Isolation Doping

P-type substrate p+p+ p+Isolation Doping

SiO2

Pad Oxide

Pad oxidation, nitride deposition and patterning

LOCOS oxidation

Nitride and pad oxide strip

Bird’s Beak

SiO2

2006/9/27 178

STI Process

SiliconPad Oxide

Nitride Pad Oxidation and LPCVD Nitride

SiliconPad Oxide

Nitride

Etch Nitride and Pad Oxide

Photoresist Photoresist

SiliconPad Oxide

Nitride Strip Photoresist

Page 90: Chapter 5 Thermal Processes - ntut.edu.t fabrication_GA/IC... · Chapter 5 Thermal Processes 2006/9/27 2 ... •Describe thermal oxidation process •Explain the advantage of RTP

90

2006/9/27 179

STI Process

SiliconPad Oxide

Nitride

SiliconPad Oxide

Nitride

Silicon

USG

USGBarrier Oxide

Trench Trench on Silicon

Barrier oxidation, CVD USG Trench Fill

USG CMP; Nitride,Pad Oxide Strip

2006/9/27 180

Self-aligned Contact Etch Stop

TiSi2

p-Silicon

SidewallSpacer

n+n+ n+

BPSGBPSG

Photoresist Photoresist

Oxide

Nitride PolyGate

Page 91: Chapter 5 Thermal Processes - ntut.edu.t fabrication_GA/IC... · Chapter 5 Thermal Processes 2006/9/27 2 ... •Describe thermal oxidation process •Explain the advantage of RTP

91

2006/9/27 181

Nitride Breakthrough

n+ n+

BPSGBPSG

Photoresist Photoresist

TiSi2

n+p-Silicon

OxideSidewallSpacer

PolyGate

Nitride

2006/9/27 182

Strip Photoresist

n+ n+

BPSGBPSG

TiSi2

n+p-Silicon

OxideSidewallSpacer

PolyGate

Nitride

Page 92: Chapter 5 Thermal Processes - ntut.edu.t fabrication_GA/IC... · Chapter 5 Thermal Processes 2006/9/27 2 ... •Describe thermal oxidation process •Explain the advantage of RTP

92

2006/9/27 183

Deposit Ti/TiN and Tungsten

n+ n+

BPSGBPSG

TiSi2

n+p-Silicon

OxideSidewallSpacer

PolyGate

W

Ti/TiN

Nitride

2006/9/27 184

CMP Tungsten and TiN/Ti

n+ n+

BPSGBPSG

TiSi2

n+p-Silicon

OxideSidewallSpacer

PolyGate

W

Nitride

Page 93: Chapter 5 Thermal Processes - ntut.edu.t fabrication_GA/IC... · Chapter 5 Thermal Processes 2006/9/27 2 ... •Describe thermal oxidation process •Explain the advantage of RTP

93

2006/9/27 185

Silicon Nitride Applications

N-WellP-Well

n+STI p+ p+USG

PSG

PSG W

FSG

n+

M1 Cu

FSG

FSGM2 Cu

FSG

PD Silicon Oxide

IMD SealNitride

PMDBarrierNitride

W

PD Silicon Nitride

IMD EtchStop Nitride

SidewallSpacer

2006/9/27 186

Silicon Nitride Deposition

•Silane or DCS as silicon source•NH3 as nitrogen source•N2 as purge gas

3 SiH2Cl2 + 4 NH3 Si3N4 + 6 HCl + 6 H2

or3 SiH4 + 4 NH3 Si3N4 + 12 H2

Page 94: Chapter 5 Thermal Processes - ntut.edu.t fabrication_GA/IC... · Chapter 5 Thermal Processes 2006/9/27 2 ... •Describe thermal oxidation process •Explain the advantage of RTP

94

2006/9/27 187

Silicon Nitride LPCVD System

Heaters

Tower

MFC

MFC

Control Valves

RegulatorProc

ess

N2

SiH

2Cl 2

MFC

Scrubbier

Exhaust

Burn Box

Wafers

Pump

MFC

Purg

eN

2

NH

3Process Chamber

2006/9/27 188

Nitride Deposition Process Sequence

ChamberTemperature

WaferTemperature

N2 Flow

NH3 Flow

DCS Flow

ChamberPressure

LoadWafer

UnloadWafer

RaiseTower

PumpDown

Temp.Stab.

PumpDown

Press.Stab.

Si3N4Dep.

PumpDown

N2Vent

LowerTower

Wafer TowerPosition

Page 95: Chapter 5 Thermal Processes - ntut.edu.t fabrication_GA/IC... · Chapter 5 Thermal Processes 2006/9/27 2 ... •Describe thermal oxidation process •Explain the advantage of RTP

95

2006/9/27 189

Future Trends of HT-CVD

•More single wafer rapid thermal CVD•Integrated processes in cluster tools

2006/9/27 190

Summary of Furnace Deposition

•Polysilicon and silicon nitride are the twomost commonly film deposited in hightemperature furnace

•Silane and DCS are the two most commonlyused silicon sources.

•Polysilicon can be doped while depositionby flowing phosphine, arsine or diborane

Page 96: Chapter 5 Thermal Processes - ntut.edu.t fabrication_GA/IC... · Chapter 5 Thermal Processes 2006/9/27 2 ... •Describe thermal oxidation process •Explain the advantage of RTP

96

2006/9/27 191

Rapid Thermal Process

2006/9/27 192

Rapid Thermal Processing (RTP)

•Mainly used for post-implantation rapidthermal anneal (RTA) process.

•Fast temperature ramp-up, 100 to 150 °C/seccompare with 15 °C/min in horizontal furnace.

•Reduce thermal budge and easier processcontrol.

Page 97: Chapter 5 Thermal Processes - ntut.edu.t fabrication_GA/IC... · Chapter 5 Thermal Processes 2006/9/27 2 ... •Describe thermal oxidation process •Explain the advantage of RTP

97

2006/9/27 193

Rapid Thermal Processing (RTP)

•Single wafer rapid thermal CVD (RTCVD)chamber can be used to deposit polysilicon andsilicon nitride.

•RTCVD chamber can be integrated with otherprocess chamber in a cluster tool for in-lineprocess.

•Thin oxide layer (< 40 Å) is likely to be grownwith RTO for WTW uniformity control.

2006/9/27 194

Schematic of RTP Chamber

External Chamber

IR Pyrometer

QuartzChamber

Wafer

ProcessGases

Tungsten-Halogen Lamp

Page 98: Chapter 5 Thermal Processes - ntut.edu.t fabrication_GA/IC... · Chapter 5 Thermal Processes 2006/9/27 2 ... •Describe thermal oxidation process •Explain the advantage of RTP

98

2006/9/27 195

Lamp Array

BottomLamps

Wafer

TopLamps

2006/9/27 196

RTP Chamber

Photo courtesy ofApplied Materials, Inc

Page 99: Chapter 5 Thermal Processes - ntut.edu.t fabrication_GA/IC... · Chapter 5 Thermal Processes 2006/9/27 2 ... •Describe thermal oxidation process •Explain the advantage of RTP

99

2006/9/27 197

Annealing and Dopant Diffusion

•At higher temperature >1100 °C anneal isfaster than diffusion

•Post implantation prefer high temperatureand high temperature ramp rate.

•Single wafer rapid thermal process tool hasbeen developed initially for this application

2006/9/27 198

Annealing and Dopant Diffusion

•Dopant atoms diffuse at high temperature•Furnace has low temperature ramp rate

(~10 °C/min) due to large thermal capacity•Furnace annealing is a long process which

causes more dopant diffusion•Wafer at one end gets more anneal than

wafer at another end

Page 100: Chapter 5 Thermal Processes - ntut.edu.t fabrication_GA/IC... · Chapter 5 Thermal Processes 2006/9/27 2 ... •Describe thermal oxidation process •Explain the advantage of RTP

100

2006/9/27 199

Anneal Rate and Diffusion Rate

Temperature

Anneal Rate

Diffusion Rate

2006/9/27 200

Dopant Diffusion After Anneal

Furnace annealRTA

Gate

Page 101: Chapter 5 Thermal Processes - ntut.edu.t fabrication_GA/IC... · Chapter 5 Thermal Processes 2006/9/27 2 ... •Describe thermal oxidation process •Explain the advantage of RTP

101

2006/9/27 201

Advantage of RTP over Furnace

•Much faster ramp rate (75 to 150 °C/sec)•Higher temperature (up to 1200 °C)•Faster process•Minimize the dopant diffusion•Better control of thermal budget•Better wafer to wafer uniformity control

2006/9/27 202

RTP Temperature Change

Time

Tem

pera

ture

Rampup

Cool downAnnealLoadwafer

Unloadwafer

N2 Flow

Page 102: Chapter 5 Thermal Processes - ntut.edu.t fabrication_GA/IC... · Chapter 5 Thermal Processes 2006/9/27 2 ... •Describe thermal oxidation process •Explain the advantage of RTP

102

2006/9/27 203

Thermal Nitridization

•Titanium PVD•Thermal nitridization with NH3

NH3 + Ti TiN + 3/2 H2

2006/9/27 204

Titanium Nitridization

SiO2

SiO2

Ti

Ti TiN

Page 103: Chapter 5 Thermal Processes - ntut.edu.t fabrication_GA/IC... · Chapter 5 Thermal Processes 2006/9/27 2 ... •Describe thermal oxidation process •Explain the advantage of RTP

103

2006/9/27 205

RTO Process

•Ultra thin silicon dioxide layer < 30Å•Better WTW uniformity•Better thermal budget control

2006/9/27 206

RTP Process Diagram

Time

Rampup 1& 2

Cooldown

RTALoadwafer

Unloadwafer

RTO

O2 flow

N2 flow

HCl flow

Temperature

Page 104: Chapter 5 Thermal Processes - ntut.edu.t fabrication_GA/IC... · Chapter 5 Thermal Processes 2006/9/27 2 ... •Describe thermal oxidation process •Explain the advantage of RTP

104

2006/9/27 207

Future Tends in RTP

•In-situ process monitoring•Cluster tools•RTO, RTA and RTCVD applications•RTCVD single-wafer chamber owns better

control of thermal budget and WTWuniformity over LPCVD

2006/9/27 208

RTCVD ChamberHeating Lamps

Wafer

QuartzWindow

Reactants

Reactants &byproducts

IR PyrometerWater CooledChamber Wall

Lamp Housing

Page 105: Chapter 5 Thermal Processes - ntut.edu.t fabrication_GA/IC... · Chapter 5 Thermal Processes 2006/9/27 2 ... •Describe thermal oxidation process •Explain the advantage of RTP

105

2006/9/27 209

Temperature of RTP & Furnace

Time

Tem

pera

ture

Time

Furnace

RTP

RoomTemp.

2006/9/27 210

Cluster Tool

TransferChamber

RTCVD-Si

Loading Station

HF VaporClean

RTO/RTP

Cooldown

Unloading Station

Page 106: Chapter 5 Thermal Processes - ntut.edu.t fabrication_GA/IC... · Chapter 5 Thermal Processes 2006/9/27 2 ... •Describe thermal oxidation process •Explain the advantage of RTP

106

2006/9/27 211

Summary of RTP

•Fast thermal process but with low throughput•Better process control

–Thermal budget–Wafer to wafer uniformity

•Minimized dopant diffusion•Cluster tool, easy process integration

2006/9/27 212

Summary of Thermal Process•Oxidation, diffusion, annealing, and CVD•Wet oxidation is faster, dry oxidation has better

film quality. For advanced fab mainly dryoxidation.

•Diffusion doping with oxide mask, used in lab•LPCVD polysilicon and front-end silicon nitride•Annealing recovers crystal and activates dopants•RTP: better control, faster and less diffusion•Furnaces: high throughput and low cost, will

continue to be utilized in the future