bpsk_2012

Upload: raffi-sk

Post on 03-Apr-2018

215 views

Category:

Documents


0 download

TRANSCRIPT

  • 7/28/2019 BPSK_2012

    1/6

    BPSK System on Spartan 3E FPGA

    S.O. Popescu*, A.S.Gontean* and G.Budura*** Applied Electronics Departament, Faculty of Electronics and Telecommunications,

    Politehnica University of Timisoara, Romania** Telecommunications Department, Faculty of Electronics and Telecomunications,Politehnica University of Timisoara, Romania

    [email protected], [email protected], [email protected]

    Abstract The paper presents a theoretical background

    overview of the digital communication systems and the

    BPSK modulation and demodulation. The purposed design

    is the BPSK system. The BPSK modulation and

    demodulation represents an important modulation

    technique in terms of signal power. The BPSK system is

    simulated using Matlab/ Simulink environment and System

    Generator, a tool from Xilinx used for FPGA design as well

    as implemented on two Spartan 3E Starter Kit boards. Thefirst board behaves as a modulator and the second as a

    demodulator. The modulator and demodulator algorithms

    have been implemented on FPGA using the VHDL language

    on Xilinx ISE 12.3.The local clock oscillator of the board is50Mhz which corresponds with a period of 20ns. The

    frequency of the BPSK carrier is 31,250 kHz. Both, the

    modulator and demodulator, have been designed and

    simulated and theirs performances were evaluated by

    measurements.

    I. INTRODUCTIONIn the last years, a major transition from analog to

    digital modulation techniques has occurred and it can beseen in all areas of satellite communications systems,cellular and wireless. A digital communication system ismore reliable than an analog one thanks to the advancedsignal processing algorithms used at the transmitter andthe receiver ends.

    The aim of the paper is to create a BPSK (Binary PhaseShift Keying) system made of a modulator, a channel anda demodulator. The modulated signal was achieved in thefirst Spartan 3E board, passed through a channel andtransmitted to the second board, which behaves as ademodulator. At the end of the demodulator, themodulating signal was obtained. The main difference isthe System Generator block which makes possible the

    administration of the Xilinx components. Importantstudies were made in this field and were cited in this paper[1], [2], [3], [4], [5], [6], [7].

    The resources used in generating the BPSK modulationand demodulation were a computer with the XilinxWebPack ISE on it, two Spartan 3E Starter Kit boards anda LeCroy WaveSurfer Xs Series Oscilloscope, a high

    performance digital oscilloscope.The paper is organized into 6 sections. The paper

    begins with an introduction in section 1. Section 2presents the theoretical backgrounds about the digitalcommunication system and about the BPSK modulationand demodulation. After discussing in theory,implementation of the BPSK system in Matlab/ Simulink

    and System Generator are presented in section 3. Section4 is dedicated to the implementation of the system:modulator and demodulator on the Spartan 3E Starter Kit

    boards. The results are discussed in section 5. The finalsection, 6, presents the conclusions.

    II. THEORETICAL BACKGROUNDSA. Digital Communication System

    A typically digital communication system is presented

    in Fig.1.

    Figure 1. A Digital Communication System.

    The components of the digital communication systemare both digital and analog parts. The digital part consistsof digital source/user, source encoder/ decoder, channelencoder/ decoder and the digital modulator/ demodulator.The analog part is made of the transmitter, receiver, thechannel models and noise models [8].

    The message to be sent is from a digital source, in ourcase, from a computer. The source encoder accepts thedigital data and prepares the source messages. The role ofthe channel encoder is to map the input symbol sequenceinto an output symbol sequence. The binary informationobtained at the output of the channel encoder is than

    passed to a digital modulator which serves as interfacewith the communication channel. The main purpose ofthe modulator is to translate the discrete symbols into ananalog waveform that can be transmitted over the channel[9], [10].

    In the receiver, the reverse signal processing happens.A channel is the physical medium that carries a signal

    between the transmitter and the receiver. The signal iscorrupted with noise whatever the medium used fortransmission.

    The role of a digital communication system is totransport digital data between the transmitter andreceiver. As the signals propagate between the two nodes,

    they may be submitted to distortion due the channelimperfection. The digital data is transmitted between the

    SAMI 2012 10th IEEE Jubilee International Symposium on Applied Machine Intelligence and Informatics January 26-28, 2012 Herlany, Slovakia

    301 978-1-4577-0197-9/11/$26.00 2011 IEEE

  • 7/28/2019 BPSK_2012

    2/6

    transmitter and the receiver by varying a physicalcharacteristic of a sinusoidal carrier, either the frequencyor the phase or the amplitude. This operation is

    performed with a modulator at the transmitting end toimpose the physical change to the carrier and ademodulator at the receiving end to detect the resultant

    modulation on reception [11].B. BPSK Modulation and Demodulation

    Digital modulation is the process by which digitalsymbols are transmitted into waveforms that arecompatible with the characteristics of the channel [8].The modulation process converts the signal in order to becompatible with available transmission facilities. At thereceiver end, demodulation must be accomplished byrecognizing the signals [11].

    The modulation technique used in this paper is BPSK(Binary Phase Shift Keying) and it is widely used indigital transmission [12]. BPSK modulation is the

    simplest form and most robust of all the PSK modulationtechniques. It is able to modulate at only 1bps and it isnot suitable for high data-rate applications.

    The BPSK modulator is quite simple and is illustratedin fig.2. The binary sequence m(t)or modulating signal ismultiplied with a sinusoidal carrier and the BPSKmodulated signal s(t) is obtained. The waveforms of theBPSK signal generated by the modulator are shown infig.3.

    Figure 2. BPSK Modulator [13].

    Figure 3. BPSK waveforms [14].

    To demodulate the signal, it is necessary to reconstitutethe carrier. This process is made in the Carrier RecoveryCircuit. Next, the BPSK modulated signal is multipliedwith the carrier, pass through an integrator and a decisioncircuit to obtain in the end the modulating signal.

    Figure 4. BPSK Demodulator [13].

    III. BPSKSYSTEMA. BPSK System in Simulink

    In [4], a BPSK modulator and demodulator isimplemented in the Simulink environment for a practicalteaching course.

    Fig. 5 represents a communication systemimplemented in the Matlab/ Simulink environment thatuses the BPSK modulation technique. The system iscomposed of the binary data source, a modulator, achannel and a demodulator.

    The binary data source is made of a random datasource and a rounding function (fig.6). The correspondingsignal is illustrated in fig.7c.

    Figure 5. BPSK System.

    The BPSK modulator (fig.6) is made of two sinecarriers, the second one delayed with 180 and a switchwhich will choose between the first or third outputdepending on the value of the second input. If the secondinput is 1, the output value will be sine (fig.7a), but ifthe second input is 0, the output will be sine (fig.7b).

    Figure 6. Binary data source and BPSK Modulator [15].

    Figure 7. The waveforms on the scope [9](a) Sine (b) Sine (c) Modulating signal (d) Modulated

    signal.

    The modulated signal is then pass through a channelwhere noise is added. The channel also has a limited

    frequency bandwidth so that it can be viewed as a filter(fig.8). The corresponding signals are shown in fig.9.

    S. O. Popescu et al. BPSK System on Spartan 3E FPGA

    302

  • 7/28/2019 BPSK_2012

    3/6

    Figure 8. Band Limited Noisy Channel.

    Figure 9. The waveforms shown on the scope(a) the modulated signal (b) the noise

    (c) the noise added to the modulated signal.

    The modulated signal added with noise arrives at theinput of the demodulator. The first block in thedemodulator is saturation (fig.10).

    Figure 10. BPSK demodulator.

    The saturation block [16] establishes upper and lowerbounds for an input signal. If the input signal is within thelimits of upper and lower bounds, the input signal passesthrough unchanged, otherwise the signal is clipped to theupper and/ or lower bounds (fig.11).

    Figure 11. The signal at the output of the saturation block.

    After limiting the signal to the upper and lower bounds,it is multiply by a sine waveform, which is the carrierobtained in theory from the carrier recovery circuit andthen passed through the transfer function block whichimplements a transfer function between the input and theoutput. The signal obtained is illustrated in fig.12.

    Figure 12. The signal at the output of the transfer block.

    The obtained signal enters the pulse shaper block andat its output the demodulated signal is found (fig.13).

    Figure 13. (a) The modulating signal (b) The demodulated signal.

    B. BPSK System in System GeneratorSystem Generator is a digital signal processing design

    tool from Xilinx. Designs are made in the Simulinkenvironment using a Xilinx specific blockset. Allimplementation steps, including synthesis, place androute are automatically performed to generate an FPGA

    programming file [17].In [6] and [7] implementations of a BPSK system are

    presented. In [7], a hybrid DSP/FPGA architecture of aBPSK transceiver is discussed with implementation isSystem Generator. In [6], a method of designing BPSKmodulator and demodulator is presented. The methoduses a DDS (Direct Digital Synthesis), same as we usedin our model implemented in System Generator.

    Our BPSK system implemented in System Generatorhas the same block as in fig.5: data source, a modulator[15], a channel and a demodulator. The main differenceis the System Generator block which makes possible theadministration of the Xilinx components.

    The modulating signal (fig.15c) is generated internalby a LFSR (Linear Feedback Shift Register) (fig.14). Thecarrier is generated internal by DDS blocks from SystemGenerator (fig.14). The DDS Compiler Block is a directdigital synthesizer and it uses a lookup table scheme togenerate sinusoids. A digital integrator generates a phasethat is mapped by the lookup table into the outputwaveform [17]. The sine waveforms can be seen in fig.15(a) and (b). The mux block implements a multiplexer. Ithas one select input and a configurable number of datainputs that can be defined by the user. The d0 and d1inputs of mux represent the sine waves. The sel input ofmux represents the modulating signal and selects betweenthe d0 and d1 inputs. If LFSR is 1, the modulated signalremained same as the carrier, but if 0 was transmitted,

    the yielded carrier is transmitted.

    Figure 14. BPSK Modulator in System Generator.

    SAMI 2012 10th IEEE Jubilee International Symposium on Applied Machine Intelligence and Informatics January 26-28, 2012 Herlany, Slovakia

    303

  • 7/28/2019 BPSK_2012

    4/6

    With the System Generator WaveScope (fig.15), theuser can view the waveforms generated in the design. It iswell suited for analyzing and debugging SystemGenerator designs [17].

    Figure 15. The waveforms:(a)Sine (b) ---Sine (c) The modulating signal (d) The modulated

    signal.

    The modulated signal is then pass through the same

    channel where noise is added and arrives at the input ofthe demodulator (fig.16).The carrier is recovered due to the DDS compiler and

    then multiplied with the modulated signal affected bynoise. The obtained signal is then added with all thesamples, multiplied, from a period. This operation takes

    place in the accumulator. Once we have a result, it iscompared with a threshold. If the compared signal is

    positive, the demodulator take the decision that 1 wastransmitted, otherwise, 0.

    Figure 16. BPSK Demodulator in System Generator.

    Fig.17 illustrates the modulating signal generated in themodulator and the demodulated signal obtained after thedemodulation operation.

    Figure 17. (a) The modulating signal (b) The demodulated signal

    IV. BPSKSYSTEM ON THE SPARTAN 3EBOARDThe BPSK System (fig.19) = Modulator (fig.20) and

    Demodulator (fig.22) that we implemented on the Spartan3E Starter Kit board [18] is, exactly, the implementationin System Generator. The carrier is generated internal, in aROM.

    The BPSK system (fig.18) consists of two Spartan 3Eboards, first behaves as a modulator and the second one,as a demodulator. The connections between the two

    boards are made of three wires: first comports as acommunication channel, the second as an asynchronousreset signal and the last one for the synchronization of thetwo boards.

    Figure 18. BPSK System.

    The yellow cable represents the communicationchannel, the red one is the reset and the green one makes

    possible the synchronization between the two boards.

    Figure 19. BPSK System experimental setup.

    Figure 20. BPSK Modulator experimental setup.

    The modulating signal is generated internal, in themodulator, by a LFSR. The carrier is also generatedinternal, and is made of 16 different values kept in a ROMmemory [1]. The yielded carrier with 180 phase shift isobtained by reading the ROM memory later with 8samples. If LFSR was 1, the modulated signal remainedsame as the carrier, but if 0 was transmitted, themodulated signal became the yielded carrier. Themodulated signal is then sent to the DAC (Digital-to-Analog Converter) on the board in order to be sent

    through the channel. A design of a BPSK transmitterusing FPGA with DAC is presented in [3].

    S. O. Popescu et al. BPSK System on Spartan 3E FPGA

    304

  • 7/28/2019 BPSK_2012

    5/6

    The principle of the BPSK modulator implemented onthe FPGA is illustrated in fig.21.

    Figure 21. The principle of the BPSK modulator on the FPGA

    Figure 22. BPSK Demodulator experimental setup.

    The modulated signal affected with noise arrives at thesecond board which behaves as a demodulator. The signalenters the demodulator with the help of a pmod AD1which transforms the analog signal into a digital one.This digital signal is then multiply with the recovered

    carrier, generated internal in a ROM memory, practicallyan integration was achieved. The result is kept in anaccumulator and compared with a decision threshold andso, the demodulated signal is obtained. The principle ofthe BPSK demodulator implemented on the FPGA isillustrated in fig.23.

    Figure 23. The principle of the BPSK demodulator on the FPGA

    V. RESULTSAfter implementing the BPSK System made of a

    modulator and demodulator on the two Spartan 3E StarterKit boards, the signals were routed to the LeCroyWaveSurfer Xs Series Oscilloscope, a high performancedigital oscilloscope.

    Fig.24 illustrates the signal in the modulator. The firstone represents the modulating signal generated by theLFSR, the second one, the carrier and the third, themodulated signal.

    In fig.25, the modulated, the modulating and the

    demodulated signals are shown.

    Figure 24. The waveforms:(a) The modulating signal (b) Sine (c) The modulated signal.

    Figure 25. The waveforms:(a) The modulated signal (b) The modulating signal

    (c) The demodulated signal.

    Fig. 26 and 27 illustrate the design summary of themodulator and demodulator board. The design summaryshows the various synthesizer options that were enabledand some device utilization and timing statistics for thesynthesized design.

    Figure 26. Design Summary of the BPSK Modulator.

    Figure 27. Design Summary of the BPSK Demodulator

    Figure 28 and 29 show the schematic representation of

    the two boards.

    SAMI 2012 10th IEEE Jubilee International Symposium on Applied Machine Intelligence and Informatics January 26-28, 2012 Herlany, Slovakia

    305

  • 7/28/2019 BPSK_2012

    6/6

    Figure 28. The route paths of the BPSK modulator on

    the Spartan 3E Board.

    Figure 29. The route paths of the BPSK demodulator onthe Spartan 3E Board.

    VI. CONCLUSIONWe proposed a implementation of the BPSK System

    (Modulator and Demodulator) in the Matlab/Simulinkenvironment.

    Then, we made a proposal of a BPSK System inSystem Generator. Both, the modulating signal and thecarrier are generated internal, the modulating signal by aLFSR and the carrier by a DDS Compiler. The modulatedsignal is obtained at the output of a mux block and, then,

    passed through a communication channel where noise isadded. In the demodulator, the carrier is recovered due toanother DDS compiler and then multiplied with themodulated signal affected by noise. The obtained signal isthen added with all the multiplied samples from thecarrier in a period. The operation takes place in theaccumulator. Once we have a result, it is compared with adecision threshold. If the compared signal is positive, the

    demodulator take the decision that 1 was transmitted,otherwise, 0.The BPSK System implemented on the Spartan 3E

    Starter Kit board has the same principle as theimplementation in System Generator. Although SystemGenerator has an option to generate the VHDL code, forthis design the code was made from the beginning

    because the generated code was hard to read. The onlydifference was that of the carrier which was indeedgenerated internal, in a ROM memory, but made of 16different values. The yielded carrier with 180 phase shiftwas obtained by reading the ROM memory later with 8samples.

    Comparing the design summary obtained with otherworks in this field [1] - [8], the logic utilization of the

    board was lower in terms of the slice flip-flops and LUTsused. All of these make the design suitable in terms of

    propagation, implementation and logic utilization of theSpartan 3E boards used in this work.

    REFERENCES

    [1]

    F.Ahamed, A.Scorpino, An educational digital communicationsproject using FPGAs to implement a BPSK Detector, IEEETransactions on Education, Vol.48, No.1, 2005, pp.191-197.

    [2] O.Azarmanesh, S.Bilen, Developing a rapid prototyping methodusing a Matlab/ Simulink/ FPGA development to enable importinglegacy code, Proceedings of the SDR 08 Technical Conferenceand product Exposition, USA, 2008.

    [3] Y.H.Chye, M.F.Ain, N.M.Zawawi, Design of BPSK TransmitterUsing FPGA with DAC, in Proceedings of the 2009 IEEE 9thMalaysia Conference on Communications, Malaysia, 2009,pp.451-456.

    [4] P.Dondon, J.M.Micouleau, J.Legall, .K.Kadionik, Design of alow cost BPSK modulator/demodulator for a practical teaching ofdigital modulation techniques, in the 4th WSEAS/IASMEInternational Conference on Engineering Education, Greece, 2007,pp.61-66.

    [5] P.Krivi, G.timac, FPGA Implementation of BPSK Modem forTelemetry Systems Operating in Noisy Environments,Proceedings of the 33rd International Convention on Information

    and Communication Technology, Electronics andMicroelectronics, Croatia, 2010, pp.1727-1731.

    [6] W.Song, J.Zhang, Q.Yao, Design and Implementation of BPSKModulator and Demodulator on Modern DSP Technology, 3rdIEEE International Symposium on Microwave, Antenna,

    Propagation and EMC Technologies for WirelessCommunications, China, 2009, pp.1135-1137.

    [7] Y.Tachwali, H.Refai, Implementation of a BPSK Transceiver onHybrid Software Defined Radio Platforms, 3rd InternationalConference on Information and Communication Technologies:From Theory to Applications, Syria, 2008, pp. 1-5.

    [8] A.Grgr, F.Arikan, O.Arikan, Simulation of a digitalcommunication system, in Proceedings of the 13th EuropeanSignal Processing Conference EUSIPCO, 2005, Turkey,http://www.eurasip.org/Proceedings/Eusipco/Eusipco2005/defevent/papers/cr1142.pdf

    [9] H.Nguyen, E.Shwedyk,A first Course in Digital Communications,Cambridge University Press, New York, 2009.

    [10] J.G. Proakis, Digital Communications, 4th edition, McGraw Hill,New York, 2001.

    [11] G. Smithson, Introduction to Digital Modulation Schemes, inIEE Colloquium on The Design of Digital Cellular Handsets,1998, United Kingdom, pp. 2/1 - 2/9

    [12] S.O.Popescu, G.Budura, A.S.Gontean, Review of PSK and QAM Digital Modulation Techniques on FPGA, International JointConference on Computational Cybernatics and TechnicalInformatics (ICCC-CONTI), Romania, 2010, pp.327-332.

    [13] F.Xiong, Digital Modulation Techniques, Artech House, UK,2000.

    [14] S.O.Popescu, A.S.Gontean, F.Alexa, Improved FPGA-Detector,in Proceedings of the 6 th IEEE International Symposium onApplied Computational Intelligence and Informatics (SACI 2011),Romania, 2011, pp.455-458.

    [15] S.O.Popescu, A.S.Gontean, G.Budura, Simulation andImplementation of a BPSK Modulator on FPGA, in Proceedingsof the 6th IEEE International Symposium on AppliedComputational Intelligence and Informatics (SACI 2011),Romania, 2011, pp.459-463.

    [16] S.T.Karris, Introduction to Simulink with EngineeringApplications, Orchard Publications, USA, 2006.

    [17] System Generator for DSP. Getting Started Guide.Xilinx. 2008[18] Spartan 3E FPGA Starter Kit board. User guide.Xilinx. 2011.[19] ISE 10.1 Quick Start Tutorial,Xilinx, 2008.

    S. O. Popescu et al. BPSK System on Spartan 3E FPGA

    306