bibliography - springer978-90-481-2694-1/1.pdf · 222 bibliography [and98] r. andraka, “a survey...

22
Bibliography [Aar03] E. Aarts and R. Roovers, “IC design challenges for ambient intelligence”, in Design, Automation and Test in Europe Conference and Exhibition, pp. 2–7, 2003. [Aet95] AetherWire and Location Inc., “Low-power, miniature, distributed po- sition location and communication devices using ultra-wideband, non- sinusoidal communication technology”, Technical report, July 1995, http://www.aetherwire.com. [Aky02a] I. Akyildiz, W. Su, Y. Sankarasubramaniam, and E. Cayirci, “A survey on sen- sor networks”, IEEE Communications Magazine, vol. 40, no. 8, pp. 102–114, 2002. [Aky02b] I. Akyildiz, W. Su, Y. Sankarasubramaniam, and E. Cayirci, “Wireless sensor networks: a survey”, International Journal of Computer and Telecommunica- tions Networking, vol. 38, no. 4, pp. 393–422, 2002. [Ali94] M. Alidina, J. Monteiro, S. Devadas, A. Ghosh, and M. Papefthymiou, “Precomputation-based sequential logic optimization for low power”, in IEEE/ACM International Conference on Computer-Aided Design, pp. 74–81, 1994. [Alla] Wimedia Alliance, http://www.wimedia.org. [Allb] Zigbee Alliance, “Zigbee alliance tutorial”, http://www.zigbee.org. [All05] B. Ben Allen, T. Brown, K. Schwieger, E. Zimmermann, W. Malik, D. Edwards, L. Ouvry, and I. Oppermann, “Ultra wideband: applications, technology and future perspectives”, in International Workshop on Conver- gent Technologies, 2005. [Amm04] J. Ammer, Low Power Synchronization for Wireless Communication, Phd thesis, University of California, Berkeley, 2004. [Amm06] J. Ammer and J. Rabaey, “The energy-per-useful-bit metric for evaluating and optimizing sensor network physical layers”, in International Workshop on Wireless Ad-hoc and Sensor Networks, 2006. 221

Upload: trinhdang

Post on 19-Feb-2018

215 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: Bibliography - Springer978-90-481-2694-1/1.pdf · 222 Bibliography [And98] R. Andraka, “A survey of cordic algorithms for FPGA based computers”, ... [Cha07] B. Chalamala, “Portable

Bibliography

[Aar03] E. Aarts and R. Roovers, “IC design challenges for ambient intelligence”, inDesign, Automation and Test in Europe Conference and Exhibition, pp. 2–7,2003.

[Aet95] AetherWire and Location Inc., “Low-power, miniature, distributed po-sition location and communication devices using ultra-wideband, non-sinusoidal communication technology”, Technical report, July 1995,http://www.aetherwire.com.

[Aky02a] I. Akyildiz, W. Su, Y. Sankarasubramaniam, and E. Cayirci, “A survey on sen-sor networks”, IEEE Communications Magazine, vol. 40, no. 8, pp. 102–114,2002.

[Aky02b] I. Akyildiz, W. Su, Y. Sankarasubramaniam, and E. Cayirci, “Wireless sensornetworks: a survey”, International Journal of Computer and Telecommunica-tions Networking, vol. 38, no. 4, pp. 393–422, 2002.

[Ali94] M. Alidina, J. Monteiro, S. Devadas, A. Ghosh, and M. Papefthymiou,“Precomputation-based sequential logic optimization for low power”, inIEEE/ACM International Conference on Computer-Aided Design, pp. 74–81,1994.

[Alla] Wimedia Alliance, http://www.wimedia.org.

[Allb] Zigbee Alliance, “Zigbee alliance tutorial”, http://www.zigbee.org.

[All05] B. Ben Allen, T. Brown, K. Schwieger, E. Zimmermann, W. Malik,D. Edwards, L. Ouvry, and I. Oppermann, “Ultra wideband: applications,technology and future perspectives”, in International Workshop on Conver-gent Technologies, 2005.

[Amm04] J. Ammer, Low Power Synchronization for Wireless Communication, Phdthesis, University of California, Berkeley, 2004.

[Amm06] J. Ammer and J. Rabaey, “The energy-per-useful-bit metric for evaluatingand optimizing sensor network physical layers”, in International Workshopon Wireless Ad-hoc and Sensor Networks, 2006.

221

Page 2: Bibliography - Springer978-90-481-2694-1/1.pdf · 222 Bibliography [And98] R. Andraka, “A survey of cordic algorithms for FPGA based computers”, ... [Cha07] B. Chalamala, “Portable

222 Bibliography

[And98] R. Andraka, “A survey of cordic algorithms for FPGA based computers”,in Proceedings of the Sixth ACM/SIGDA International Symposium on Field-Programmable Gate Arrays (FPGA ’98), 1998.

[ARM] ARM, “ARM926EJ-S”,http://www.arm.com/products/CPUs/ARM926EJS.html.

[Ash96] P. Ashenden, The Designer’s Guide to VHDL, Morgan Kaufmann, SanFrancisco, 1996, ISBN: 1558602704.

[Bad06] M. Badaroglu, C. Desset, J. Ryckaert, V. De Heyn, G. Van der Plas,P. Wambacq, and B. Van Poucke, “Analog-digital partitioning for low-powerUWB impulse radios under CMOS scaling”, EURASIP Journal on WirelessCommunications and Networking, pp. 1–8, 2006, Article ID 72430.

[Bag05] S. Bagga, L. Zhang, W. Serdijn, J. Long, and E. Busking, “A quantized analogdelay for an IR-UWB quadrature downconversion receiver”, in IEEE Inter-national Conference on Ultra-Wideband, pp. 328–332, Sept. 2005.

[Bar06] D. Baranauskas and D. Zelenin, “A 0.36W 6b up to 20GS/s DAC for UWBwave formation”, in IEEE International Conference on Solid-State Circuits,pp. 2380– 2389, Feb. 2006.

[Ben00] L. Benini and G. de Micheli, “System-level power optimization: techniquesand tools”, ACM Transactions on Design Automation of Electronic Systems,vol. 5, no. 2, pp. 115–192, 2000.

[Bla03] R. Blazquez, F. Lee, D. Wentzloff, P. Newaskar, and J. PowellandA. Chandrakasan, “Digital architecture for an ultra-wideband radio receiver”,in VTC Fall ’03, Orlando, FA, October 2003.

[Bla05] R. Blazquez, P. Newaskar, F. Lee, and A. Chandrakasan, “A baseband pro-cessor for impulse ultra-wideband communications”, IEEE Journal of SolidState Circuits, vol. 40, no. 9, pp. 1821–1828, 2005.

[Blu07] Bluetooth, “Bluetooth specification version 2.1 + EDR”,http://www.bluetooth.com, 2007.

[Bon05] A. Bonivento and A. Sangiovanni-Vincentelli, “Platform based design forwireless sensor networks”, in Networking with Ultra Wide Band and Work-shop on Ultra Wide Band for Sensor Networks, pp. 9–19, July 2005.

[Bou06a] B. Bougard, Cross-layer energy management in broadband wirelesstransceivers, PhD thesis, Katholieke Universiteit Leuven, 2006.

[Bou06b] B. Bougard, S. Pollin, A. Dejonghe, F. Catthoor, and W. Dehaene, “Cross-layer power management in wireless networks and consequences on system-level architecture”, EURASIP Journal on Signal Processing, vol. 86, no. 8,pp. 1792–1803, Aug. 2006.

Page 3: Bibliography - Springer978-90-481-2694-1/1.pdf · 222 Bibliography [And98] R. Andraka, “A survey of cordic algorithms for FPGA based computers”, ... [Cha07] B. Chalamala, “Portable

Bibliography 223

[Bou07] Bruno Boury and Hans Danneels, “Ontwerp van een laag-vermogen draadlozeUWB front-end voor ambient intelligence”, Master’s thesis, KatholiekeUniversiteit Leuven, 2007.

[Cal92] T. Callaway and E. Swatzlander, “Optimizing arithmetic elements for signalprocessing”, in VLSI Signal Processing Workshop, pp. 91–100, 1992.

[Car06] C. Carbonelli and U. Mengali, “M-PPM noncoherent receivers for UWB ap-plications”, IEEE Transactions on Wireless Communications, vol. 5, no. 8,pp. 2285–2294, Aug. 2006.

[Cha92a] A. Chandrakasan, M. Potkonjak, J. Rabaey, and R. Brodersen, “HYPER-LP:a system for power minimization using architectural transformations”, in In-ternational Conference on Computer Aided Design, pp. 300–303, 1992.

[Cha92b] A. Chandrakasan, S. Sheng, and R. Brodersen, “Low power CMOS digitaldesign”, IEEE Journal of Solid-State Circuits, vol. 27, no. 4, pp. 473–484,1992.

[Cha95a] A. Chandrakasan and R. Brodersen, “Minimizing power consumption in dig-ital CMOS circuits”, Proceedings of the IEEE, vol. 83, no. 4, pp. 498–523,1995.

[Cha95b] A. Chandrakasan, M. Potkonjak, R. Mehra, J. Rabaey, and R. Brodersen, “Op-timizing power using transformations”, IEEE Transactions on Computer-aided Design of Integrated Circuits and Systems, vol. 14, no. 1, pp. 12–31,1995.

[Cha03] Y. Chao and R. Scholtz, “Optimal and suboptimal receivers for ultra-widebandtransmitted reference systems”, in IEEE Global Telecommunications Confer-ence, pp. 759–763, 2003.

[Cha07] B. Chalamala, “Portable electronics and the widening energy gap”, Proceed-ings of the IEEE, vol. 95, no. 11, pp. 2106–2107, 2007.

[Che03] M. Chen and R. Broderson, “A subsampling radio architec-ture for 3-10 GHz UWB”, BWRC Retreat, June 13, 2003,http://bwrc.eecs.berkeley.edu/Research/UWB/publications/mchen_retreat03_talk_po.ppt.

[Che07] J.Y. Chen, M. P. Flynn, and J. P. Hayes, “A fully integrated auto-calibratedsuper-regenerative receiver in 0.13-μm CMOS”, IEEE Journal of Solid StateCircuits, vol. 42, no. 9, pp. 1976–1985, 2007.

[Cho08] N. Cho, J. Lee, L. Yan, J. Bae, and H-J. Yoo, “A 60kb/s-to-10Mb/s 0.37nJ/badaptive-frequency-hopping transceiver for body-area network”, in IEEE In-ternational Solid-State Circuits Conference, vol. 51, pp. 132–133, 2008.

Page 4: Bibliography - Springer978-90-481-2694-1/1.pdf · 222 Bibliography [And98] R. Andraka, “A survey of cordic algorithms for FPGA based computers”, ... [Cha07] B. Chalamala, “Portable

224 Bibliography

[Cla99] T. Claasen, “High speed: not the only way to exploit the intrinsic computa-tional power of silicon”, International Solid State Conference: Plenary ses-sion, Feb. 1999.

[Cla03] T. Claasen, “Platform design: the next paradigm shift to deal with complex-ity”, in International Symposium on VLSI Technology, Systems, and Applica-tions, pp. 8– 12, October 2003.

[Com04] C. Compton and S. Hauck, “Flexibility measurement of domain-specificreconfigurable hardware”, in ACM/SIGDA 12th international symposium onField programmable gate arrays, pp. 155–161, 2004.

[Con04] M. Conti, G. Maselli, G. Turi, and S. Giordano, “Cross-layering in mobile adhoc network design”, IEEE Computer Society, vol. 37, no. 2, pp. 48–51, 2004.

[Cos07] S. Cosemans, W. Dehaene, and F. Catthoor, “A low-power embedded sramfor wireless applications”, IEEE Journal of Solid State Circuits, vol. 42, no.7, pp. 1607–1617, 2007.

[Cou01] L. W. Couch, Digital and Analog Communications, Prentice Hall, New York,2001.

[D.02] D. Deschans D., J. Beguerer, Y. Deval, P. Fouillat, A. Baudry A, andG. Montignac, “A 4-Gsample/s, 2 bit SiGe digitizers for the ALMA project.Paper II”, ALMA Memo no. 426, 2002.

[Dan08] H. Danneels, M. Verhelst, P. Palmers, W. Vereecken, B. Boury, W. Dehaene,M. Steyaert, and G. Gielen, “A low-power mixing DAC IR-UWB-receiver”,in IEEE International Symposium on Circuits and Systems, May 2008.

[Daw99] H. Dawid and H. Meyr, Digital Signal Processing for Multimedia Sys-tems: CORDIC Algorithms and Architectures, chapter 24, K. K. Parhi andT. Nishitani (Eds). Marcel Dekker, New York, 1999.

[dP06] G. Van der Plas, S. Decoutere, and S. Donnay, “A 0.16 pJ/conversion-step 2.5mW 1.25 GS/s 4b ADC in a 90nm digital CMOS process”, in IEEE Inter-national Solid-State Circuits Conference, 2006.

[dR06] E. Arias de Reyna, A. D’Amico, and U. Mengali, “UWB energy detectionreceivers with partial channel knowledge”, in IEEE International Conferenceon Communications, pp. 4688–4693, 2006.

[dS01] J. da Silva, J. Shamberger, M. Ammer, C. Guo, S. Li, R. Shah, T. Tuan,M. Sheets, J. Rabaey, B. Nikolic, A. Sangiovanni-Vincentelli, and P. Wright,“Design methodology for picoradio networks”, in Proceedings of the confer-ence on Design, automation and test in Europe, pp. 314–325, 2001.

Page 5: Bibliography - Springer978-90-481-2694-1/1.pdf · 222 Bibliography [And98] R. Andraka, “A survey of cordic algorithms for FPGA based computers”, ... [Cha07] B. Chalamala, “Portable

Bibliography 225

[Dub05] S Dubouloz, B Denis, S de Rivaz, and L Ouvry, “Performance analysis ofLDR UWB non-coherent receivers in multipath environments”, in IEEE In-ternational Conference on Ultra-Wideband,, 2005.

[Dun85] A. Dunlop and B. Kernighan, “A procedure for placement of standard-cellVLSI circuits”, IEEE Transactions on Computer-Aided Design of IntegratedCircuits and Systems, vol. 4, no. 1, pp. 92–98, 1985.

[Dur05] G. Durisi and S.Benedetto, “Comparison between coherent and noncoherentreceivers for UWB communications”, EURASIP Journal on Applied SignalProcessing, vol. 38, no. 3, pp. 359–368, 2005.

[ECC] ECC, “ECC decision of 24 march 2006 amended 6 July 2007 on the har-monised conditions for devices using UWB technology in bands below 10.6GHz”, ECCDEC0604.PDF.

[Ene] Energizer, “Technical battery information”,http://data.energizer.com.

[Far] Faraday, “0.13μm fusion library”,http://www.faraday-tech.com/html/documentation.

[FCC02] FCC, “First report and order”, FCC 02-48, February 14, 2002.

[FCC04] FCC, “Part 15 - Radio frequency devices, section 15.209”, FCC part15_4_23_04, April 23, 2004.

[Fin03] K. Finkenzeller, RFID-Handbook, 2nd edition : Fundamentals and Applica-tions in Contactless Smart Cards and Identification, Wiley, New York, 2003.

[For] UWB Forum, http://www.uwbforum.org.

[Fra93] F. Franssen, F. Balasa, M. van Swaaij, F. Catthoor, and H. De Man, “Modelingmultidimensional data and control flow”, IEEE Transactions on Very LargeScale Integration (VLSI) Systems, vol. 1, no. 3, pp. 319–327, 1993.

[Fra06] S. Franz and U. Mitra, “Generalized uwb transmitted reference systems”,IEEE Journal on Selected Areas in Communications, vol. 24, no. 4, pp.780–786, 2006.

[Fre04] Freescale, “XS110 UWB solution for media-rich wireless applications”,http://brevisys.com/pdf/UWBFACT.pdf, 2004.

[Gaj83] D. Gajski and R. Kuhn, “New VLSI tools”, Computer, vol. 16, pp. 11–14,1983.

[Gaj00] D. Gajski, A. Wu, W. Chaiyakul, S. Mori, T. Nukiyama, and P. Bricaud, “Es-sential issues for IP reuse”, in Proceedings of the Asia and South PacificDesign Automation Conference, pp. 37–42, 2000.

Page 6: Bibliography - Springer978-90-481-2694-1/1.pdf · 222 Bibliography [And98] R. Andraka, “A survey of cordic algorithms for FPGA based computers”, ... [Cha07] B. Chalamala, “Portable

226 Bibliography

[Gez05] S. Gezici, Z. Tian, G. Giannakis, H. Kobayashi, A. Molisch, H. Poor, andZ. Sahinoglu, “Localization via ultra-wideband radios: a look at positioningaspects for future sensor networks”, IEEE Signal Processing Magazine, vol.22, no. 4, pp. 70–84, July 2005.

[Gia04] L. Yang G. Giannakis, “Optimal pilot waveform assisted modulation for ul-trawideband communications”, IEEE Transactions on Wireless Communica-tions, vol. 3, no. 4, pp. 1236– 1249, 2004.

[Gie01] G. Gielen, M. Murray, M. Softak, and L. Kaye, “When will the analog de-sign flow catch up with digital methodology?”, in Proceedings of the DesignAutomation Conference, pp. 419–419, 2001.

[Gin04] B. Ginsburg and A. Chandrakasan, “Dual scalable 500MS/s, 5b time-interleaved SAR ADCs for UWB applications”, in VLSI Circuits, 2004. Digestof Technical Papers, pp. 232–235, June 2004.

[Gon97a] R. Gonzalez, B. Gordon, and M. Horowitz, “Supply and threshold voltagescaling for low power CMOS”, IEEE Journal of Solid-State Circuits, vol. 32,pp. 1210–1216, 1997.

[Gon97b] R. Gonzalez, B. Gordon, and M. Horowitz, “Supply and threshold voltagescaling for low power CMOS”, IEEE Journal of Solid-State Circuits, vol. 32,pp. 1210–1216, Aug. 1997.

[Gu03] Z. Gu and A. Thiede, “18 GHz low-power CMOS static frequency divider”,Electronics Letters, vol. 39, pp. 1433–1434, 2003.

[Gue05] D. Guermandi, P. Tortori, E. Franchi, and A. Gnudi, “A 0.75 to 2.2 GHzcontinuously-tunable quadrature VCO”, in IEEE International Solid-StateCircuits Conference, 2005.

[Har89] B. Haroun and M. Elmasry, “Architectural synthesis for dsp silicon compil-ers”, IEEE Transactions on Computer-aided Design of Integrated Circuitsand Systems, vol. 8, no. 4, pp. 431–447, 1989.

[Hau02] C. Haubelt, J. Teich, K. Richter R., and Ernst, “System design for flexibility”,in Design, Automation and Test in Europe Conference and Exhibition, pp.854–861, 2002.

[Hay05] S. Haykin, “Cognitive radio: brain-empowered wireless communications”,IEEE Journal on Selected Areas in Communications, vol. 23, no. 2, pp.201–220, 2005.

[Hel07] N. Van Helleputte and G. Gielen, “An ultra-low-power quadrature PLL in130nm CMOS for impulse radio receivers”, in Biomedical Circuits and Sys-tems Conference, pp. 63–66, Nov. 2007.

Page 7: Bibliography - Springer978-90-481-2694-1/1.pdf · 222 Bibliography [And98] R. Andraka, “A survey of cordic algorithms for FPGA based computers”, ... [Cha07] B. Chalamala, “Portable

Bibliography 227

[Hel08] N. Van Helleputte and G. Gielen, “A 46pJ/pulse analog front-end in 130nmCMOS for UWB impulse radio receivers”, in IEEE European Solid StateCircuits Conference, Sept. 2008.

[Hey05] P. Heydari, “A study of low-power ultra wideband radio transceiver archi-tectures”, in IEEE Wireless Communications and Networking Conference,pp. 758–763, Mar. 2005.

[Hoc02] R. Hoctor and H. Tomlinson, “Delay-hopped transmitted reference RF com-munications”, in IEEE Conf. on Ultra Wideband Systems and Technologies,pp. 265–270, May 2002.

[Hof] Candida Hofer, “Bibliotheca de la real academia de la lengue madrid”.

[Hor94] M. Horowitz, T. Indermaur, and R. Gonzalez, “Low-power digital design”, inProceedings of IEEE Symposium on Low Power Electronics, pp. 8–11, Oct.1994.

[Hos94] B. Hosticka, W. Brockherde, R. Klinke, and R. Kokozinski, “Design method-ology for analog monolithic circuits”, IIEEE Transactions on Circuits andSystems I: Regular Papers, vol. 41, pp. 387–394, May 1994.

[Hu92] Y. Hu, “CORDIC-based VLSI architectures for digital signal processing”,IEEE Signal Processing Magazine, pp. 16–35, July 1992.

[Hu05] B. Hu and N. Beaulieu, “Accurate performance evaluation of time-hoppingand direct-sequence uwb systems in multi-user interference”, IEEE Transac-tions on Communications, vol. 53, pp. 1053– 1062, June 2005.

[Hum91] P. Humblet and M. Azizoglu, “On the bit error rate of lightwave systemswith optical amplifiers”, Journal of Lightwave Technology, vol. 9, no. 11, pp.1576–1582, 1991.

[IEEa] IEEE802.15.3a, “IEEE 802.15 WPAN high rate alternative PHY task group3a”, http://www.ieee802.org/15/pub/TG3a.html.

[IEEb] IEEE802.15.4, “IEEE 802.15.4 standard (Zigbee)”,http://www.ieee802.org/15/pub/TG4.html,http://www.zigbee.org.

[IEEc] IEEE802.15.4, “IEEE std. 802.15.4 - 2003: MAC and PHY specifications forLR-WPANs”,http://standards.ieee.org/getieee802/download/802.15.4-2003.pdf.

[IEEd] IEEE802.15.4a, “IEEE 802.15 WPAN low rate alternative PHY task group4a”, http://www.ieee802.org/15/pub/TG4a.html.

Page 8: Bibliography - Springer978-90-481-2694-1/1.pdf · 222 Bibliography [And98] R. Andraka, “A survey of cordic algorithms for FPGA based computers”, ... [Cha07] B. Chalamala, “Portable

228 Bibliography

[IEEe] IEEE802.15.4a, “Standard draft proposal”,http://www.ieee802.org/15/pub/TG4a.html.

[IEEf] IEEE802.15.SG3a, “Channel modeling sub-committee report final”, IEEEP802.15-02/490r1-SG3a, (February 2003).

[IEE04] IEEE802.15.4a, “15-04-0505-04-004a-UWB-Channel-Model-for-under-1-GHz”, 2004, www.ieee802.org/15/pub/TG4a.html.

[IME] IMEC/INVOMEC, “ASIC design support”,http://www.imec.be/wwwinter/mediacenter/en/SR2006/681546.html.

[Inc] Virtual Silicon Tecnology Inc., “Two port SRAM (256 words, 48 bits perword) product datasheet”.

[Inta] Integration, “Ia4320 product information”,http://www.integration.com/.

[Intb] Intel, “Moore’s law page”,http://www.intel.com/technology/mooreslaw/.

[Jam07] J. Jamp, J. Deng, and L. Larson, “A 10GS/s 5 bit ultra-low power DAC forspectral encoded ultra-wideband transmitters”, in IEEE Radio Frequency In-tegrated Circuits (RFIC) Symposium, pp. 31–34, June 2007.

[Jeo06] C. Jeong and C. Yoo, “5-GHz low-phase noise CMOS quadrature VCO”,IEEE Microwave and Wireless Components Letters, vol. 16, no. 11, pp. 609–611, 2006.

[Kah99] J. Kahn, R. Katz, and K. Pister, “Next century challenges: Mobile networkingfor ‘smart dust”’, in ACM/IEEE international conference on Mobile Comput-ing and Networking, pp. 271–278, Aug. 1999.

[Kal60] R. Kalman, “A new approach to linear filtering and prediction problems”,Transactions of the ASME – Journal of Basic Engineering, vol. 82, pp. 35–45,1960.

[Kao02] J. Kao and M. Miyazakiand A. Chandrakasan, “A 175-mV multiply-accumulate unit using an adaptive supply voltage and body bias architecture”,IEEE Journal of Solid State Circuits, vol. 37, no. 11, pp. 1545–1554, 2002.

[Keu00] K. Keutzer, S. Malik, R. Newton, J. Rabaey, and A. Sangiovanni-Vincentelli,“System level design: Orthogonalization of concerns and platform-based de-sign”, IEEE Transactions on Computer-Aided Design, vol. 19, no. 12, 2000.

[Kim04] H. Kim, D. Park, and Y. Joo, “All-digital low-power CMOS pulse generatorfor UWB system”, Electronics Letters, vol. 40, no. 24, pp. 1534–1535, 2004.

Page 9: Bibliography - Springer978-90-481-2694-1/1.pdf · 222 Bibliography [And98] R. Andraka, “A survey of cordic algorithms for FPGA based computers”, ... [Cha07] B. Chalamala, “Portable

Bibliography 229

[Kin98] P. Kinget, “A fully integrated 2.7V 0.35μm CMOS VCO for 5GHz wirelessapplications”, in International Solid-State Circuits Conference 1998, pp. 226–227, February 1998.

[Lan96a] P. Landman, “High-level power estimation”, in International Symposium onLow Power Electronics and Design, pp. 29–35, 1996.

[Lan96b] P. Landman and J. Rabaey, “Activity-sensitive architectural power analysis”,IEEE Transactions on Computer-aided Design of Integrated Circuits and Sys-tems, vol. 15, no. 6, pp. 571–587, 1996.

[Lau02] E. Lauwers and G. Gielen, “Power estimation methods for analog circuits forarchitectural exploration of integrated systems”, IEEE Transactions on VeryLarge Scale Integration (VLSI) Systems, vol. 10, no. 2, pp. 155 – 162, 2002.

[Lee02] S. Lee, Design and Analysis of Ultra-Wide Bandwidth Impulse Radio Re-ceiver, PhD thesis, University of Southern California, May 2002.

[Lee06a] F. Lee, R. Blazquez, B. Ginsburg, J. Powell, M. Scharfstein, D. Wentzloff, andA. Chandrakasan, “A 3.1 to 10.6 GHz 100 Mb/s pulse-based ultra-widebandradio receiver chipset”, in IEEE International Conference on Ultra-Wideband,pp. 185–190, 2006.

[Lee06b] F. Lee and A. Chandrakasan, “A BiCMOS ultra-wideband 3.1-10.6GHz front-end”, IEEE Journal of Solid State Circuits, vol. 41, no. 8, pp. 1784–1791,2006.

[Lee07a] F. Lee and A. Chandrakasan, “A 2.5nJ/b 0.65V 3-to-5Ghz subbanded UWBreceiver in 90nm CMOS”, in IEEE International Solid-State Circuits Confer-ence, pp. 116–117, 2007.

[Lee07b] F. Lee and A. Chandrakasan, “A 2.5nJ/b 0.65V pulsed UWB receiver in 90nmCMOS”, IEEE Journal of Solid State Circuits, vol. 42, no. 12, pp. 2851–2859,2007.

[Li07] Z. Li, W. Dehaene, and G. Gielen, “System design for ultra-low-poweruwb-based indoor localization”, in IEEE International Conference on Ultra-Wideband, pp. 580–585, 2007.

[Lia07] C. Liao and S. Liu, “A broadband noise-canceling CMOS LNA for 3.1-10.6GHz UWB receivers”, IEEE Journal of Solid-State Circuits, vol. 42, no. 2,pp. 329–339, 2007.

[Lot02] V. Lottici, A. D’Andrea, and U. Mengali, “Channel estimation for ultra-wideband communications”, IEEE Journal on Selected Areas in Communi-cations, vol. 20, no. 9, pp. 1638–1645, 2002.

Page 10: Bibliography - Springer978-90-481-2694-1/1.pdf · 222 Bibliography [And98] R. Andraka, “A survey of cordic algorithms for FPGA based computers”, ... [Cha07] B. Chalamala, “Portable

230 Bibliography

[L.S05] L. Stoica, A. Rabbachin, H. Repo, T. Tiuraniemi, and I. Oppermann, “Anultrawideband system architecture for tag based wireless sensor networks”,IEEE Transactions on Vehicular Technology, vol. 54, no. 5, pp. 1632–1645,2005.

[Mai98] K. Mai, T. Mori, B. Amrutur, R. Ho, B. Wilburn, M. Horowitz, I. Fukushi,T. Izawa, and S. Mitarai, “Low-power SRAM design using half-swing pulse-mode techniques”, IEEE Journal of Solid-State Circuits, vol. 33, no. 11,pp. 1366–1376, 1998.

[Man] H. De Man and I. Verbauwhede, “HJ94 course slides”,http://homes.esat.kuleuven.be/~iverbauw/Courses/HJ94/lectures04/lectures.html.

[Man88] H. De Man, J. Rabaey, J. Vanhoof, G. Goossens, P. Six, and L. Claesen,“CATHEDRAL-II - a computer-aided synthesis system for digital signalpro-cessing VLSI systems”, IEE Computer-Aided Engineering Journal, vol. 5, no.2, pp. 55–66, 1988.

[Mar03] K. Marsden, H.J. Lee, D. Ha, and H.S. Lee, “Low power cmos re-programmable pulse generator for uwb systems”, in IEEE Conference onUltra Wideband Systems and Technologies, pp. 443– 447, Nov. 2003.

[Mar04] D. Markovic, V. Stojanovic, B. Nikolic, M. Horowitz R., and Brodersen,“Methods for true energy-performance optimization”, IEEE Journal of Solid-State Circuits, vol. 39, no. 8, pp. 1282–1293, 2004.

[Mat] The Mathworks, “Matlab”, http://www.mathworks.com/.

[McQ67] J. McQueen, “Some methods for classification and analysis of multi-variateobservations”, Computer and Chemistry, vol. 4, pp. 257–272, 1967.

[Med08] A. Medi and W. Namgoong, “A high data-rate energy-efficient interference-tolerant fully integrated CMOS frequency channelized UWB transceiver forimpulse radio”, Journal of Solid State Circuits, vol. 43, no. 4, pp. 974–980,2008.

[Meh94] R. Mehra and J. Rabaey, “Behavioral level power estimation and exploration”,in First International Workshop on Low Power Design, pp. 197–202, Apr.1994.

[Mik] Fraunhofer Verbund Mikroelektronik,http://www.vue.fraunhofer.de/.

[Min02] R. Min, M. Bhardwaj, S. Cho, N. Ickes, E. Shih, and A. Sinha, “Energy-centric enabling technologies for wireless sensor networks”, IEEE wirelesscommunications, vol. 9, no. 4, pp. 28, 2002.

Page 11: Bibliography - Springer978-90-481-2694-1/1.pdf · 222 Bibliography [And98] R. Andraka, “A survey of cordic algorithms for FPGA based computers”, ... [Cha07] B. Chalamala, “Portable

Bibliography 231

[Mit95] J. Mitola, “The software radio architecture”, IEEE Communications Maga-zine, vol. 33, no. 5, pp. 26–38, May 1995.

[Moh02] S. Mohanty, V. K. Prasanna, S. Neema, and J. Davis, “Rapid design spaceexploration of heterogeneous embedded systems using symbolic search andmulti-granular simulation”, in Proceedings of the joint conference on Lan-guages, compilers and tools for embedded systems, pp. 18–27, 2002.

[Mon] RF Monolithics, “Tr3000 product information”, http://www.rfm.com/.

[Moo65] G. Moore, “Cramming more components onto integrated circuit”, Electronics,vol. 38, no. 8, pp. 114–117, 1965.

[Muh04] K. Muhammad, D. Leipold, B. Staszewski, Y.-C. Ho, C. M. Hung, K. Maggio,C. Fernando, T. Jung, J. Wallberg, J.-S. Koh, S. John, I. Deng, O. Moreira,R. Staszewski, R. Katz, and O. Friedman, “A discrete-time bluetooth receiverin a 0.13um digital CMOS process”, in IEEE International Solid-State Cir-cuits Conference, vol. 47, pp. 268–269, 2004.

[Nam03a] W. Namgoong, “Channelized digital receivers for impulse radio”, in IEEEInternational Conference on Communications 2003, vol. 4, pp. 2884–2888,2003.

[Nam03b] W. Namgoong, “A channelized digital ultrawideband receiver”, IEEE Trans-actions on Wireless Communications, vol. 2, no. 3, pp. 502–510, 2003.

[Nan] NanoHUB, “Following Moore’s law”,http://www.opensciencegrid.org/About/What_We’re_Doing/Research_Highlights/NanoWire.

[New02] P. Newaskar, R. Blazquez, and A. Chandrakasan, “A/D precision requirementsfor an ultra-wideband radio receiver”, in IEEE Workshop on Signal ProcessingSystems (SIPS), pp. 270–275, 2002.

[Nok] Nokia, “IEEE 802.15.4 PHY, resp. MAC proposal for evaluation:01231r2P802-15_TG4-Nokia-PHY-Proposal1.ppt; 01231r2P802-15_TG4-Nokia-MAC-Proposal1.ppt”, http://www.ieee802.org/15/pub/2001/Jul01/.

[Nuz06] P. Nuzzo, G. Van der Plas, F. De Bernardinis, L. Van der Perre, B. Gyselinckx,and P. Terreni, “A 10.6mW/0.8pJ power-scalable 1GS/s 4b ADC in 0.18/splmu/m CMOS with 5.8GHz ERBW”, in ACM/IEEE Design Automation Con-ference, pp. 873–878, 2006.

[O’D02] I. O’Donnell, M. Chen, S. Wang, and R. Brodersen, “An integrated, low-power, ultra-wideband transceiver architecture for low-rate, indoor wirelesssystems”, IEEE CAS Workshop on Wireless Communications and Networking,Sept. 2002.

Page 12: Bibliography - Springer978-90-481-2694-1/1.pdf · 222 Bibliography [And98] R. Andraka, “A survey of cordic algorithms for FPGA based computers”, ... [Cha07] B. Chalamala, “Portable

232 Bibliography

[O’D06a] I. O’Donnell, A Baseband, Impulse Ultra-Wideband Transceiver Front-endfor Low Power Applications, PhD thesis, University of California, Berkeley,2006.

[O’D06b] I. O’Donnell and R. Brodersen, “A 2.3mW baseband impulse-UWBtransceiver front-end in CMOS”, in Symposium on VLSI Circuits, p. 200,2006.

[Oh05] N. Oh, S. Lee, and J. Ko, “A CMOS 868/915MHz direct conversion zigbeesingle-chip radio”, IEEE Communications Magazine, vol. 43, no. 12, pp. 100–109, 2005.

[Oti05] B. P. Otis, Y. H. Chee, and J. M. Rabaey, “A 400uW-Rx, 1.6mW-Tx super-regenerative transceiver for wireless sensor networks”, in IEEE InternationalSolid-State Circuits Conference, vol. 48, pp. 396–397, 2005.

[Pei99] H. Peixoto, M. Jacome, A. Royo, and J. Lopez, “The design space layer:supporting early design space exploration for core-based designs”, in Design,Automation and Test in Europe Conference, pp. 676–683, 1999.

[Pen02] P. I. Penzes and A. J. Martin, “Energy-delay efficiency of VLSI computa-tions”, in Proceedings Great Lakes Symp. VLSI, pp. 104–111, 2002.

[Pen05] J. Peng and Y. Xia, A new theoretical framework for K-means-type clustering,Foundation and recent advances in data mining, W. Chu and T. Lin (Eds).Springer, Berlin, pp. 79–98, 2005.

[Phi] Philips Research, “Ambient intelligence”,http://www.research.philips.com.

[Por03] D. Porcino and W. Hirt, “Ultra-wideband radio technology: potential andchallenges ahead”, IEEE Communications Magazine, vol. 41, no. 7, pp.66–74, 2003.

[Pot93] M. Potkonjak and J. Rabaey, “Exploring the algorithmic design space usinghigh level synthesis”, in Workshop on VLSI Signal Processing, pp. 123–131,1993.

[Pot00] M. Potkonjak and J. Rabaey, “Algorithm selection: a quantitativeoptimization-intensive approach”, IEEE Transactions on Computer-Aided De-sign of Integrated Circuits and Systems, vol. 18, no. 5, pp. 524–532, 2000.

[Pou03] K. Ken Poulton, R. Neff, B. Setterberg, B. Wuppermann, T. Kopley, R. Jewett,J. Pernillo, C. Tan, and A. Montijo, “A 20GS/s 8b ADC with a 1MB memoryin 0.18μm CMOS”, in International Solid-State Circuits Conference 2003,2003, paper 18.1.

Page 13: Bibliography - Springer978-90-481-2694-1/1.pdf · 222 Bibliography [And98] R. Andraka, “A survey of cordic algorithms for FPGA based computers”, ... [Cha07] B. Chalamala, “Portable

Bibliography 233

[Pow94] R. Powers, “Advances and trends in primary and small secondary batteries”,IEEE AES Systems Magazine, vol. 9, no. 4, pp. 32–36, 1994.

[Pro01] J. Proakis, Digital communications, McGraw Hill, New York, 4th edn, 2001.

[Qua81] A. Quazi, “An overview on the time delay estimate in active and passivesystems for target localization”, IEEE Transactions on Acoustics, Speech,and Signal Processing, vol. 29, no. 3, pp. 527– 533, 1981.

[Rab91] J. Rabaey, C. Chu, P. Hoang, and M. Potkonjak, “Fast prototyping of datapath-intensive architectures”, IEEE Design and Test of Computers, pp. 40–51,1991.

[Rab02] J. Rabaey, J. Ammer, T. Karalar, S. Li, B. Otis, M. Sheets, and T. Tuan, “Pico-radios for wireless sensor networks: The next challenge in ultra-low-powerdesign”, in Proceedings of the International Solid-State Circuits Conference,pp. 200 – 201, 2002.

[Rab03] J. Rabaey, A. Chandrakasan, and B. Nicolic, Digital Integrated Circuits: Adesign perspective (Second edition), Prentice Hall, New York, 2003.

[Rag02] V. Raghunathan, C. Schurgers, S. Park, and M. Srivastava, “Energy-awarewireless microsensor networks”, IEEE Signal Processing Magazine, vol. 19,no. 2, pp. 40–50, 2002.

[Rah04] P. Raha, “A 0.6-4.2V low-power configurable PLL architecture for 6 GHz-300MHz applications in a 90 nm CMOS process”, in VLSI Circuits, 2004. Digestof Technical Papers, pp. 232–235, June 2004.

[Raj03] A. Rajeswaran, V. Somayazulu, and J. Foerster, “RAKE performance for apulse based UWB system in a realistic UWB indoor channel”, in IEEE Inter-national Conference on Communications, vol. 4, pp. 2879– 2883, 2003.

[Raz05] B. Razavi, T. Aytur, C. Lam, F. Yang, K. Li, R. Yan, H. Kang, C. Hsu, andC. Lee, “A UWB CMOS transceiver”, Journal of Solid State Circuits, vol. 40,no. 12, pp. 2555–2562, 2005.

[Red06] K. Satyanarayana Reddy, M. Annamalai Arasu, K. Wah Wong, Y. Zheng, andF. Lin, “Low-power UWB LNA and mixer using 0.18μ CMOS technology”,in European Solid-State Circuits Conference, 2006.

[Roo05] R. Roovers, D. Leenaerts, J. Bergervoet, K. Harish, R. van de Beek, G. van derWeide, H.Waite, Z. Yifeng, S. Aggarwal, and C. Razzel, “An interference-robust receiver for ultra-wideband radio in SiGe BiCMOS technology”, IEEEJournal of Solid-State Circuits, vol. 40, no. 12, pp. 2563–2572, 2005.

Page 14: Bibliography - Springer978-90-481-2694-1/1.pdf · 222 Bibliography [And98] R. Andraka, “A survey of cordic algorithms for FPGA based computers”, ... [Cha07] B. Chalamala, “Portable

234 Bibliography

[Rou03] S. Roundy, P. Wright, and J. Rabaey, “A study of low level vibrations as apower source for wireless sensor nodes”, Computer Communications, vol. 26,no. 11, pp. 1131–1144, 2003, ©2003 Elsevier, reprinted with permission fromElsevier.

[Ryc05] J. Ryckaert, C. Desset, A. Fort, M. Badaroglu, V. De Heyn, P. Wambacq,G. Van der Plas, S. Donnay, and B. Van Poucke and B. Gyselinckx, “Ultra-wide-band transmitter for low-power wireless body area networks: design andevaluation”, IEEE Transactions on Circuits and Systems I, vol. 52, pp. 2515–2525, 2005.

[Ryc06] J. Ryckaert, M. Badaroglu, V. De Heyn, G. Van der Plas, P. Nuzzo, A. Baschi-rotto, S. D’Amico, C. Desset, H. Suys, M. Libois, B. Van Poucke, P. Wambacq,and B. Gyselinckx, “A 16mA UWB 3-to-5GHz 20MPulses/s quadrature ana-log correlation receiver in 0.18μm CMOS”, in IEEE International Solid StateCircuits Conference, pp. 368–369, 2006.

[Ryc07a] J. Ryckaert, G. Van der Plas, V. De Heyn, C. Desset, B. Van Poucke, andJ. Craninckx, “A 0.65-to-1.4 nJ/burst 3-to-10 GHz UWB all-digital TX in 90nm CMOS for IEEE 802.15.4a”, IEEE Journal of Solid-State Circuits, vol.42, no. 12, pp. 2860–2869, 2007.

[Ryc07b] J. Ryckaert, G. Van der Plas, V. De Heyn, C. Desset, G. Vanwijnsberghe,B. Van Poucke, and J. Craninckx, “A 0.65-to-1.4nJ/burst 3-to-10GHz UWBdigital TX in 90nm CMOS for IEEE 802.15.4a”, in IEEE International SolidState Circuits Conference, 2007, pp. 120–121, 2007.

[Ryc07c] J. Ryckaert, M. Verhelst, M. Badaroglu, S. D’Amico, V. De Heyn, C. Desset,P. Nuzzo, B. Van Poucke, P. Wambacq, A. Baschirotto, W. Dehaene, andG. Van der Plas, “A CMOS ultra-wideband receiver for low data-rate commu-nication”, IEEE Journal of Solid-State Circuits, vol. 42, no. 11, 2515–2527,2007.

[Saf06] A. Safarian, Z. Lei, and P. Heydari, “A distributed RF front-end for UWBreceivers”, in IEEE Custom Integrated Circuits Conference, pp. 805–808,2006.

[Sak90] T. Sakurai and A. Newton, “Alpha-power law MOSFET model and its appli-cations to CMOS inverter delay and other formulas”, IEEE Journal of Solid-State Circuits, vol. 25, no. 2, pp. 584–594, 1990.

[Sat01] M. Satyanarayanan, “Pervasive computing, vision and challenges”, in IEEEPersonal Communications, pp. 10–17, 2001.

[Sch05] R. Scholtz, D. Pozar, and W. Namgoong, “Ultra-wideband radio”, EURASIPJournal of Applied Signal Processing, vol. 3, pp. 252–272, 2005.

Page 15: Bibliography - Springer978-90-481-2694-1/1.pdf · 222 Bibliography [And98] R. Andraka, “A survey of cordic algorithms for FPGA based computers”, ... [Cha07] B. Chalamala, “Portable

Bibliography 235

[SIA99] SIA: Semiconductor Industry Association, “The International TechnologyRoadmap for Semiconductors: 1999 edn. Austin, TX: International Semat-ech”, 1999.

[Siw04] K. Siwiak and D. McKeown, Ultra-wideband Radio Technology, Wiley, NewYork, 2004.

[Skl87] B. Sklar, Digital Communications: Fundamentals and Applications, PrenticeHall, New York, 1987.

[Sky] SkyCross, “3.1-10 GHz ultra-wideband antenna SMT-3TO10M-A”,http://www.skycross.com/Products.

[SRa02] P. Wright S. Roundy an and K. Pister, “Micro-electrostatic vibration-to-electricity converters”, in ASME IMECE, 2002.

[Syn] Synopsys, “(primepower): Full-chip dynamic power analysis formultimillion-gate designs”, http://www.synopsys.com/products/power/primepower_ds.pdf.

[Sys] SystemC, “Open systemc initiative”, http://www.systemc.org/.

[Sze07] V. Sze and A. P. Chandrakasan, “A 0.4-V UWB baseband processor”, inInternational Symposium on Low Power Electronics, pp. 262–267, 2007.

[Tak06] K. Takeda, Y. Hagihara, Y. Aimoto, M. Nomura, Y. Nakazawa, T. Ishii, andH. Kobatake, “A read-static-noise-margin-free SRAM cell for low-VDD andhigh-speed applications”, IEEE Journal of Solid-State Circuits, vol. 41, no. 1,pp. 113–121, 2006.

[Tam06] A. Tamtrakarn, H. Ishikuro, K. Ishida1, M. Takamiya1and, and T. Sakurai, “A1-V 299μw flashing UWB transceiver based on double thresholding scheme”,in Symposium on VLSI Circuits, 2006.

[Teca] Crossbow Technology, “MICAz datasheet”, http://www.xbow.com/Products/productdetails.aspx?sid=164.

[Tecb] Agilent Technologies, “13.5 Gb/s ParBERT modules”,http://www.home.agilent.com.

[Ter06] T. Terada and T. Kuroda, “A CMOS ultra-wideband impulse radio transceiverfor 1-Mb/s data communications and 2.5-cm range finding”, IEEE Journal ofSolid-State Circuits, vol. 41, no. 4, pp. 891–898, 2006.

[TI] TI, “Cc2420 product information”, http://focus.ti.com/docs/prod/folders/print/cc2420.html.

Page 16: Bibliography - Springer978-90-481-2694-1/1.pdf · 222 Bibliography [And98] R. Andraka, “A survey of cordic algorithms for FPGA based computers”, ... [Cha07] B. Chalamala, “Portable

236 Bibliography

[Tiu05] S. Tiuraniemi, L. Stoica, A. Rabbachin, and I. Oppermann, “Front-end re-ceiver for low power and low complexity non-coherent UWB communicationssystem”, in IEEE International Conference on Ultra-Wideband, pp. 339–343,2005.

[Tri87] H. Trickey, “Flamel, a high level hardware compiler”, IEEE Transactions onComputer-aided design, vol. 6, no. 2, pp. 259–269, 1987.

[T.T04] T. Terada, S. Yoshizumi, Y. Sanada, and T. Kuroda, “Transceiver circuits forpulse-based ultra-wideband”, in ISCAS, pp. 349–352, 2004.

[Van02] J. Vandenbussche, K. Uyttenhove, E. Lauwers, M. Steyaert, and G. Gie-len, “Systematic design of a 200MS/s 8 bit interpolating/averaging A/D con-verter”, in Design Automation Conference, vol. 39, pp. 449–454, 2002.

[Van06] Y. Vanderperren, W. Dehaene, and G. Leus, “A flexible low power subsam-pling UWB receiver based on line spectrum estimation method”, in IEEEInternational Conference on Communications, 2006.

[Van08] S. Vangal, J. Howard, G. Ruhl, S. Dighe, H. Wilson, J. Tschanz, D. Finan,A. Singh, T. Jacob, S. Jain, V. Erraguntla, C. Roberts, Y. Hoskote, N. Borkar,and S. Borkar, “An 80-tile sub-100-W teraFLOPS processor in 65-nmCMOS”, IEEE Journal of Solid-State Circuits, vol. 43, no. 1, pp. 29 – 41,2008.

[Ver04] M. Verhelst, W. Vereecken, M. Steyaert, and W. Dehaene, “Architectures forlow power ultra-wideband impulse radio receivers in the 3.1-5GHz band”, inProceedings of the 2004 International Symposium on Low Power Electronicsand Design, 8-1 Aug, 2004.

[Ver05] M. Verhelst and W. Dehaene, “System design of an ultra-low power, low datarate, pulsed uwb receiver in the 0–960MHz band”, in IEEE InternationalConference on Communications, vol. 4, 2812–2817, 2005.

[Ver06a] M. Verhelst and W. Dehaene, “Complex analog correlating pulsed UWB-receiver in realistic 0-1GHz channels”, International Conference on Broad-band and Ultra-Wideband Communication, 2006.

[Ver06b] M. Verhelst, Y. Vanderperren, and W. Dehaene, “System design of an IEEE802.15.4a-compliant, merged smallband/ultra-wideband radio receiver”, inIEEE International Conference on Ultra-Wideband, pp. 179–184, 2006.

[Ver06c] M. Verhelst, W. Vereecken, N. Van Helleputte, G. Gielen, M. Steyaert, andW. Dehaene, “Design of an energy efficient ultra-wideband receiver”, Chapterin "Analog Circuit Design: High-Speed A-D Converters, Automotive Electron-ics and Ultra-Low Power Wireless"; A. van Roermund,H. Casier,M. Steyaert;Kluwer, Sept. 2006.

Page 17: Bibliography - Springer978-90-481-2694-1/1.pdf · 222 Bibliography [And98] R. Andraka, “A survey of cordic algorithms for FPGA based computers”, ... [Cha07] B. Chalamala, “Portable

Bibliography 237

[Ver07] M. Verhelst and W. Dehaene, “A flexible, ultra-low power 35pJ/pulse digitalback-end for a QAC UWB receiver”, in IEEE European Solid State CircuitsConference, 2007.

[Ver08] M. Verhelst and W. Dehaene, “Analysis of the QAC IR-UWB receiver for lowenergy, low data-rate communication”, IEEE Transactions on Circuits andSystems I, vol. 55, no. 9, 2008.

[Wal89] R. Walker and D. Thomas, “Behavioral transformation for algorithmic levelIC design”, IEEE Transactions on Computer-aided Design of Integrated Cir-cuits and Systems, vol. 8, no. 10, pp. 1115–1128, 1989.

[Wal07] JB Waldner, Nano-informatique et intelligence ambiante, Hermes SciencePublishing, 1ère edition, 2007.

[Wan01] M. Wan, H. Zhang, V. George, M. Benes, A. Abnous, V. Prabhu, andJ. Rabaey, “Design methodology of a low-energy reconfigurable single-chipDSP system”, Journal of VLSI Signal Processing Systems, vol. 28, no. 1, pp.47–61, 2001.

[Web03] W. Weber, “Ambient intelligence – industrial research on a visionary con-cept”, in Proceedings of the 2003 International Symposium on Low PowerElectronics and Design, 2003, 25–27 Aug, pp. 247–251, 2003.

[Wei05] M. Weisenhorn and W. Hirt, “ML receiver for pulsed UWB signals and par-tial channel state information”, in IEEE International Conference on Ultra-Wideband, 2005.

[Wen07] D. Wentzloff and A. Chandrakasan, “A 47pJ/pulse 3.1-to-5GHz all-digitalUWB transmitter in 90nm CMOS”, in IEEE International Solid-State CircuitsConference, pp. 118–119, 2007.

[Wes05] N. Weste and D. Harris, CMOS VLSI Design: A circuits and systems perspec-tive (3rd edn), Addison Wesley, New York, 2005.

[Wib] “Wibree homepage”, http://www.wibree.com/.

[Wika] Wikipedia, “Bluetooth”, http://www.wikipedia.com.

[Wikb] Wikipedia, “Design flow (eda)”, http://www.wikipedia.com.

[Wikc] Wikipedia, “Moore’s law”, http://www.wikipedia.com.

[Wikd] Wikipedia, “Transistor count”, http://www.wikipedia.com.

[Wike] Wikipedia, “Wibree”, http://www.wikipedia.com.

[Wikf] Wikipedia, “Zigbee”, http://www.wikipedia.com.

Page 18: Bibliography - Springer978-90-481-2694-1/1.pdf · 222 Bibliography [And98] R. Andraka, “A survey of cordic algorithms for FPGA based computers”, ... [Cha07] B. Chalamala, “Portable

238 Bibliography

[Wil] L. Williams, D. Wu, E. Staggs, and A. Yen, “Ultra-wideband radio de-sign for multi-band OFDM 480 MB/s wireless USB”, http://www.ansoft.com/designcon05/04.pdf.

[Wil05] A. Willig, K. Matheus, and A. Wolisz, “Wireless technology in industrialnetworks”, Proceedings of the IEEE, vol. 93, no. 6, pp. 1130–1151, 2005.

[Win91] M. Win and R. Scholtzweiser, “The computer of the twenty-first century”,Scientific American, vol. 165, no. 3, pp. 94–104, 1991.

[Win97] M. Win and R. Scholtz, “Comparisons of analog and digital impulse radio forwireless multiple-access communications”, in IEEE International Conferenceon Communications: Towards the Knowledge Millennium, vol. 1, pp. 91–95,1997.

[Win98] M. Win and R. Scholtz, “Impulse radio: How it works”, IEEE Communica-tions Letters, vol. 2, no. 2, pp. 36–38, 1998.

[Win00] M. Win and R. Scholtz, “Ultra-wide bandwidth time hopping spread-spectrumimpulse radio for wireless multiple-access communications”, IEEE Transac-tions on Communications, vol. 48, no. 4, pp. 679–691, 2000.

[Wol99] F. Wolff, D. Weyer M. Knieser, and C. Papachristou, “Using codesign tech-niques to support analog functionality”, Proceedings of the Seventh Interna-tional Workshop on Hardware/Software Codesign, pp. 79–83, 1999.

[Won03] H-S. Won, K-S. Kim, K-O. Jeong, K-T. Park, K-M. Choi, and J-T. Kong, “AnMTCMOS design methodology and its application to mobile computing”, inProceedings of the 2003 International Symposium on Low Power Electronicsand Design, pp. 110–115, 2003.

[Xila] Xilinx, “ML310 development platform”,http://www.xilinx.com/products/boards/ml310/current/.

[Xilb] Xilinx, “Virtex-II Pro FPGA”,http://www.xilinx.com/products/silicon_solutions/fpgas/virtex/virtex_ii_pro_fpgas/index.htm.

[Xu05] Z. Xu, S. Jiang, T. Wu, H.-Y. Jian, G. Chu, K. Ku, P. Wang, N. Tran, Q. Gu,M.Z. Lai, C. Chien, M. F. Chang, and P. D. Chow, “A compact dual-banddirectconversion CMOS transceiver for 802.11 a/b/g WLAN”, in IEEE Inter-national Solid-State Circuits Conference, vol. 48, pp. 98–99, 2005.

[Yan05a] B. Yang and L. Kim, “A low-power SRAM using hierarchical bit line andlocal sense amplifiers”, IEEE Journal of Solid-State Circuits, vol. 40, no. 6,pp. 1366–1376, 2005.

Page 19: Bibliography - Springer978-90-481-2694-1/1.pdf · 222 Bibliography [And98] R. Andraka, “A survey of cordic algorithms for FPGA based computers”, ... [Cha07] B. Chalamala, “Portable

Bibliography 239

[Yan05b] C.H. Yang, K.H. Chen, and T.D. Chiueh, “A 1.2V 6.7mW impulse-radio UWBbaseband transceiver”, in IEEE International Solid-State Circuits Conference,vol. 48, pp. 442–444, 2005.

[Zha00] H. Zhang, V. Prabhu, V. George, M. Wan, M. Benes, A. Abnous, andJ. Rabaey, “A 1V heterogeneous reconfigurable DSP IC for wireless base-band digital signal processing”, IEEE Journal of Solid-State Circuits, vol. 35,no. 11, pp. 1697–1704, 2000.

[Zha06] B. Zhai, L. Nazhandali, J. Olson, A. Reeves, M. Minuth, R. Helfand, S. Pant,D. Blaauw, and T. Austin, “A 2.60pJ/inst subthreshold sensor processor foroptimal energy efficiency”, in Symposium on VLSI Circuits, 2006, pp. 154–155, 2006.

[Zhe08] Y. Zheng, M. Arasu, K-W. Wong, Y. The, A. Poh, D. Tran, W. Gan, and D-L.Kwong, “A 0.18um CMOS 802.15.4a compliant UWB transceiver for com-munication and localization”, in IEEE International Solid-State Circuits Con-ference, vol. 51, 2008.

[Zyu02] V. Zyuban and P. Strenski, “Unified methodology for resolving power-performance tradeoffs at the microarchitectural and circuit levels”, in Interna-tional Symposium on Low Power Electronics and Design, pp. 166–171, 2002.

Page 20: Bibliography - Springer978-90-481-2694-1/1.pdf · 222 Bibliography [And98] R. Andraka, “A survey of cordic algorithms for FPGA based computers”, ... [Cha07] B. Chalamala, “Portable

Index

AAbstraction layer, 15, 16, 21ACAC-based acquisition, 107, 132, 156,

157, 192–194ACPN-based acquisition, 105, 132, 156,

157, 192–194Acquisition, 101, 163ADC resolution, 130Air interface, 37Algorithmic level, 17, 87Algorithm refinement, 87Ambient intelligence, 1Analog-digital partitioning, 27, 57Architectural level, 17, 87Architecture refinement, 122Arithmetic logic unit (ALU), 172Autocorrelation, 105Autocorrelator, 168Automated storage, 34Averaged template, 59Axes of flexibility, 142, 143

BBehavioral domain, 17Binary phase shift keying (BPSK), 45, 53Bit period, 53Bluetooth, 39Bottom-up, 25

CCarrier frequency offset, 98Channel estimation, 113Channel impulse response, 55Channel model, 55Channel transfer function, 91Chip photograph, 181, 198, 207, 215

Circuit level, 18, 21Clock domain, 137, 179Clock flexibility, 142, 144, 182Clock jitter, 128Clock offset (CO), 91, 98, 117, 169, 176Clock offset compensation loop, 103Code alignment, 101, 112, 120, 132Complex autocorrelation, 118, 169Constellation vector, 95Controller flexibility, 142, 144Cordic, 170Cross-correlation, 90Cross-correlation theorem, 90Cross-layer design, 20, 24

DDAC-based analog correlation, 60Data burst, 54Data detection (DD), 88, 125, 174DC-offset, 128Decision postponement, 25, 26Degree of flexibility, 140, 143Design abstraction, 15Design crisis, 15Design productivity gap, 14–15Design space exploration (DSE), 51Despreading, 48, 96Difference signal, 45Direct sequence UWB, 44Divide and conquer, 16

EEffective number of bits (ENOB), 74Electrical communication commission

(ECC), 43Emission mask, 43

241

Page 21: Bibliography - Springer978-90-481-2694-1/1.pdf · 222 Bibliography [And98] R. Andraka, “A survey of cordic algorithms for FPGA based computers”, ... [Cha07] B. Chalamala, “Portable

242 Index

Energy capture efficiency, 68Energy-delay product (EDP), 20Energy density, 2Energy detector, 65Energy-driven design, 13Energy gap, 1, 3Energy management, 8Energy penalty, 9, 29Energy per useful bit (EPUB), 24, 38, 41,

76, 149Energy profile, 120Energy scavenging, 37Equivalent isotropically radiated power

(EIRP), 43Error function, 45

FFederal communication commission

(FCC), 43Feedback loop, 98, 176Fine tracking loop, 139, 176First-in-first-out register (FIFO), 178Flashing receiver, 65Flexibility knob, 8, 28Flexibility power cost, 144Flexibility range, 9, 28FLEXmodule, 136Front-end architecture, 126Front-end specifications, 30, 126, 131Fully analog receiver, 66Fully digital receiver, 59

GGajski–Kuhn, 16Gaussian channel, 89Gaussian noise, 56, 66

HHybrid receiver, 59, 82

IIdeal Gaussian channel, 89Ideal multipath QAC (IMQAC), 94IEEE 802.15, 82IEEE 802.15.1, 39

IEEE 802.15.3a, 43, 55, 56IEEE 802.15.4a, 82Imperfect match filtering, 71, 89Impulse radio, 7, 44Industrial control, 34Integration window, 63, 93, 120, 127Interconnect flexibility, 142, 144, 182Interference dominated noise, 70Inventory control, 34IP re-use, 16IQ imbalance, 128IR-UWB, 7, 45, 53

LLatency, 36Linear feedback shift register (LFSR), 147Line-of-sight (LOS), 55, 204, 210Logic level, 4, 18

MMatched filter, 45, 48, 68, 89Measure of flexibility, 9, 140, 141Measurement setup, 185, 198, 206Microcontroller, 137, 152Minimal delay point, 19, 20Mixed-signal, 23, 30Moore’s law, 1, 14Multipath, 55, 91, 93, 112Multipath channel, 55, 91Multipath QAC (MPQAC), 94, 112

NNon-line-of-sight (NLOS), 55, 95, 112

OOffset estimation, 101, 117On-off keying (OOK), 53Orthogonal QPSK (O-QPSK), 44

PPacket length, 36, 80Parametrizable functional units, 140, 141Path loss, 55, 91Preamble sequence, 54, 63, 101Pervasive computing, 1Phase noise, 74, 128

Page 22: Bibliography - Springer978-90-481-2694-1/1.pdf · 222 Bibliography [And98] R. Andraka, “A survey of cordic algorithms for FPGA based computers”, ... [Cha07] B. Chalamala, “Portable

Index 243

Phase shift keying (PSK), 45, 53PHY header (PHR), 83, 84Physical domain, 17Platform based design, 16PN correlator, 147, 164PN generator, 147, 164PN-based acquisition, 103, 132, 156, 157,

193Power domain, 179Power trace, 191, 193Power-performance trade-off, 5, 159Productivity growth, 15Pruning, 27, 113Pseudo noise (PN), 46, 53Pulse period, 45, 54Pulse position modulation (PPM), 27, 45,

53Pulse recovery, 89Pulse replica, 89

QQuadrature analog correlation (QAC), 62,

87Quadrature phase shift keying (QPSK),

44Quality of service (QoS), 6

RRAKE, 58, 93, 94Ranging accuracy, 120, 204, 211Ranging energy cost, 205Ranging measurements, 205, 211Ranging protocol, 120Receiver framework, 53Reconfigurability, 136, 140, 195Register transfer level (RTL), 17, 135Run time flexibility, 31

SScalability, 8, 22, 28, 192Sensor node, 3, 33

Simplified analog correlation, 61Smart dust, 1Spreading sequence, 46Standard cells, 16State estimator, 98Structural domain, 17Synchronization, 56, 98Synchronization header (SHR), 38, 83System architecture, 123System level, 17, 21, 33System specification, 33

TTechnology scaling, 82Template learning, 59Template misalignment, 126Time-of-arrival (TOA), 119Transmitted reference (TR), 64

UUbiquitous computing, 1Ultra wide-band (UWB), 7, 40, 43, 53

VVGA training, 101, 119, 163VLIW processor, 137

WWibree, 40Window alignment, 101, 112Window computation, 173Window length, 62, 91Windowed sine template, 63, 891window QAC, 132Wireless personal area network (WPAN),

43, 82

YY chart, 16

ZZigbee, 39