asml’s customer magazine

20
ASML’s customer magazine Demonstrating Commitment in the New Veldhoven Demo Lab TWINSCAN XT:1900Gi Immersion into production 2006 Fall Edition

Upload: others

Post on 12-Sep-2021

1 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: ASML’s customer magazine

ASML’s customer magazine

Demonstrating Commitment in the New

Veldhoven Demo Lab

TWINSCAN XT:1900Gi

Immersion into production

2006

Fall E

dition

Page 2: ASML’s customer magazine

images | Colofon

Editorial Board

Don Crabtree, Peter Jenkins, Tom McGuire

Publisher

Ryan Young

Managing Editor

Saskia Boeije

Editor

Emma English

Contributing Writers

Dave Witko, Ted Paxton, Gerard van Rijen,

Jan Hoefnagels, Jeff Chappell, Jack Gemen,

Skip Miller, Jan-Evert van de Wetering, Noreen Harned,

Manfred Suddendorf, Ron Kool, Christian Wagner,

Bartel Carriere, Stuart Cherry, Robert O’Neill, Arlyn Stotts

Circulation

Michelle Herrick, Saskia Boeije, Emily Leung

For more information, please see:

www.asml.com/images

© 2006, ASML.

ASML, ASM Lithography, TWINSCAN, PAS 5500,

PAS 5000, SA 5200, ATHENA, QUASAR, HydroLith,

IRIS, FOCAL, CPL, DDL, Micralign, Micrascan, 3DAlign,

2DStitching, 3DMetrology, MaskTools, LithoGuide,

MaskRigger, MaskWeaver, LithoCruiser, LumenShaper,

Ultra-k1, DoseMapper, SAMOS, ILIAS, PerfectWave,

AGILE, LSmatch2, ModelTuner, CLASS and the ASML

logo are trademarks of ASML Holding N.V. or of affiliate

companies. The trademarks may be used either alone

or in combination with a further product designation.

StarLith, AERIAL, AERIAL II, AERIAL E and AIMS are

trademarks of Carl Zeiss. Nothing in this publication is

intended to make representations with regard to whether

any trademark is registered or to suggest that any sign

other than those mentioned should not be considered

to be a trademark of ASML or of any third party.

8 1� 183 Editor’s note

4 ASML in the news

6 TWINSCAN XT:1900Gi

8 Immersion into production

10 Customers receive Alpha Demo

Tools

1� Demonstrating commitment in the

new Veldhoven Demo Lab

14 The value of ASML innovation

16 System availability you can rely on

18 An introduction to photolithography

Page 3: ASML’s customer magazine

3

ASML Images, Fall Edition �006

Editor’s note

The lithography roadmap is a crowded place by Ryan Young

The lithography roadmap is a crowded

place at the moment with immersion, EUV,

double-patterning and so on. In this edition

of Images we try to make sense of it all by

providing status reports on some of these

developing technologies.

Let’s start with immersion. At the time of

writing, ASML had shipped more than 28

immersion systems to customers. Most of

these are TWINSCAN XT:1700i systems

which, while not yet in volume production,

are being used for product development.

We’ve included an article that discusses

the progress being made. In a separate

article we present the XT:1900i, which will

be used for volume production for 40-nm

half pitch. This 1.35 NA, 193-nm system

was introduced at SEMICON West in July

and will begin shipping in mid-2007.

On the EUV front, in August ASML

shipped two alpha demo tools to Albany

NanoTech in New York and IMEC in

Belgium. We expect these systems to be

critical enablers for EUV infrastructure

development. In fact acceptance of EUV

technology is growing as evidenced in the

recent customer order ASML received for

a pre-production system for 2009.

This quarter ASML will officially open a new

Demonstration Lab within our facility in

Veldhoven. With Sokudo as a major partner,

the new Demo Lab will afford customers

the opportunity to “test drive” systems

before purchase. A dedicated team of

lithography experts attached to Demo Lab

will provide customer demo support.

Reliability goes hand-in-hand with

leading-edge technology to ensure

customer success and profitability.

ASML is committed to providing both.

Our “design for reliability” processes

and “smart maintenance” programs are

examples of this commitment.

Value of Ownership. We’ve talked about

it before, and we will again. As the

technology leader in lithography ASML’s

philosophy is to harness technological

innovation in order to maximize the value

for our customers. This innovation has far

reaching affects and covers the entire

life-cycle of our products.

Lastly, in our recent reader survey you

asked us to provide some introductory

information on photolithography.

This edition contains the first of a

four-part series explaining the

fundamentals and highlighting ASML’s

contribution over the last 20+ years.

We hope you’ll find these articles useful.

If you have comments or feedback on this

issue or on topics you’d like covered in

future edition, please email me at

[email protected]

Page 4: ASML’s customer magazine

4

ASMLin the News

ASML, industry partners advance EUV development October 17, 2006 – ASML and partners in the semiconductor lithography supply chain

provided an update today on their progress towards the commercialization of extreme

ultraviolet (EUV) lithography.

In August 2006, ASML shipped the industry’s first full-field EUV exposure tools to

R&D centers in Europe and the United States. The shipments of these Alpha Demo

Tools followed ASML’s demonstration of key lithography performance targets for EUV

development, including full-field imaging and overlay. In addition, ASML has received

the first order from a customer for a pre-production EUV system.

ASML to deliver advanced resolution techniques to sematech for manufacturing research September 19, 2006 – ASML announced that semiconductor R&D consortium

SEMATECH has awarded ASML a contract to qualify the imaging performance of

advanced logic patterns, metrology structures and defect designs for the

45-nanometer (nm), 32-nm, and 22-nm technology nodes.

SEMATECH, together with its subsidiary, the International SEMATECH Manufacturing

Initiative (ISMI), is creating these advanced designs which will incorporate ASML’s

resolution enhancement techniques (RETs) in the form of proprietary and patented

mask technologies as well as scanner optimization settings.

ASML has shipped the industry’s first EUV tools to CNSE’s Albany NanoTech and IMEC August 29, 2006 – ASML announced

that it shipped two extreme ultraviolet

(EUV) Alpha Demo Tools (ADT) to

customers. Both the College of Nanoscale

Science and Engineering (CNSE) of the

State University of New York (SUNY) at

Albany, N.Y., and the nanoelectronics

research institute IMEC in Leuven,

Belgium, have received these industry

first, full field EUV systems.

Both institutions will use these R&D tools

after installation to conduct ongoing

research into this next generation

lithography technology. Shipments

were possible after ASML achieved key

lithography performance targets including

full field scanning imaging and overlay.

Page 5: ASML’s customer magazine

ASML Images, Fall Edition �006

For complete information regarding these press announcements, please refer to the press section of www.asml.com.

ASML marks technology and market leadership with shipment of 500th TWINSCAN system July 11, 2006 – ASML announced that it is preparing to ship its 500th

TWINSCAN lithography system. This milestone demonstrates the

acceptance of the TWINSCAN platform as the semiconductor industry’s

standard for 300-millimeter (mm) lithography, which has helped ASML

sustain the leading market share position for the past several years.

ASML expands immersion product suite with introduction of advanced 40-nm immersionJuly 11, 2006 – ASML introduced the

semiconductor industry’s most advanced

lithography system, the ASML TWINSCAN

XT:1900i. In combination with ASML

proprietary low k1 capabilities, this new

system extends optical lithography for

volume production to 40 nanometer

(nm) and below. ASML’s newest 193-nm

wavelength immersion scanner surpasses

the company’s previous numerical

aperture (NA) achievements, delivering

a new industry NA benchmark of 1.35,

the near practical limit for water-based

immersion technology.

With the introduction of this latest

immersion system, ASML now offers

immersion solutions for its customers for

resolutions from 65- to 40 nm with three

different products that allow for seamless

system transition by customers: from

one resolution to the next. The XT:1400i

is already being used in production and

the first qualification lots have now been

produced on the XT:1700i with production

ramp-up at customer sites expected to

follow as early as Q4 2006.

Page 6: ASML’s customer magazine

6

Abstract | ASML has launched the world’s

most advanced immersion lithography tool

– the TWINSCAN XT:1900Gi. As well as

an industry-leading NA of 1.35, the new

system offers the highest throughput of

any 300-mm immersion tool. It enables

IC manufacturers to continue aggressive

device shrinks for increased functionality at

lower cost.

TWINSCAN XT:1900Gi your route to 40-nm productionby Manfred Suddendorf

Page 7: ASML’s customer magazine

XT:1900Gi specifications

Numerical aperture 0.85 – 1.35

Resolution 40 nm

CDU 2.5 nm

Single machine overlay 6 nm

Throughput 131 wph (@125 exposures per wafer)

ASML Images, Fall Edition �006

management. The result is a single

machine overlay of just 6 nm. At the same

time, the XT:1900Gi offers best-in-class

focus control – an important consideration

at such a high NA.

With its unique dual-stage approach,

the TWINSCAN platform has always been

a leader in productivity. The XT:1900Gi is

no different. Thanks to enhancements in

both measurement and expose cycles,

it sets a new benchmark for immersion

lithography with throughputs of 131

wafers per hour.

The forefront of immersion lithography

The XT:1900Gi is the most advanced

immersion system in the industry with

the highest NA and resolution. Ready for

shipping in mid 2007, it delivers a further

12% shrink over the XT:1700i.

ASML has always been at the forefront of

immersion lithography and has already

shipped more than 20 immersion systems

to customers in three continents. With the

release of the XT:1900Gi, we now offer a

full suite of immersion products providing

you with a low-risk migration down to 40 nm

and below.

If you’re reading this article, you won’t

need us to tell you how important feature

shrink is. It improves chip performance,

reduces product size and drives down

the cost per function. To support your

aggressive shrink roadmaps, ASML is

launching the TWINSCAN XT:1900Gi.

This fifth-generation immersion lithography

system has an industry-high NA of 1.35,

enabling volume production with half-

pitch resolution of 40 nm and beyond.

ASML has been the technology leader in

immersion lithography since 2003 when

we launched our first immersion system,

the AT:1150i. Each year since then we’ve

moved the technology forward with new

systems. And earlier this year we began

shipping the XT:1700Fi – the industry’s

first 1.2-NA lithography system.

All these systems are built on the

established TWINSCAN platform.

The modular nature of the platform means

that each new system is already mature

and well-proven in the field – the only

components that are redesigned are

those that deliver the next step forward in

lithography performance.

Proven catadioptric lens design

The XT:1900Gi features a Zeiss Starlith

1900i immersion lens. This is the largest-

NA ArF lens available and at 1.35 NA,

it pushes water-based ArF immersion

lithography to the limit. Combined with

ASML’s Ultra-k1 portfolio, which delivers

the industry’s lowest usable k1 values,

it enables half-pitch resolutions of 40 nm

and below.

The Starlith 1900i uses the same in-line

catadioptric (involving reflection

and refraction) lens concept already

successfully employed in the XT:1700i.

Just as with the introduction of aspheric

lenses for high-NA dry lithography, the

addition of mirrors reduces lens complexity

and size for hyper-NA immersion systems.

Our catadioptric lens concept was

deliberately chosen to be extendible to

higher-NA systems, enabling a low-risk

migration to smaller feature sizes.

ASML uses an in-line design for its

catadioptric lenses. This results in a

more compact, single-barrel assembly

that provides greater mechanical

stability and easier lens adjustment

than folded systems. In addition, as

in-line catadioptric assemblies have an

even number of mirrors, you can use

the same reticles as with the purely

refractive assemblies found in today’s

non-immersion system. Such reticle

compatibility between systems gives you

more production flexibility.

Evolution not revolution

Complementing the improved imaging

performance, the XT:1900Gi features

a number of enhancements to improve

overlay. These include improved stage-

position metrology and optimized thermal

It delivers a further 12% shrink over the XT:1700i

Page 8: ASML’s customer magazine

8

Abstract | Immersion lithography is the

technology to keep the ITRS roadmap on

track. With our TWINSCAN XT:1700Fi fourth

generation immersion lithography scanner

now shipping, there is a tremendous effort

to move immersion lithography into high

volume production. So the race is on for

semiconductor manufacturers, and a

significant market advantage is at stake.

Immersion into production by Ron Kool, Christian Wagner

To deliver new technology nodes within

competitive time frames, semiconductor

manufacturers have regularly needed to

jump forward in lithography techniques

– moving from i-line to KrF and then

ArF. The next step on this path sees the

introduction of immersion lithography,

with IC manufacturers racing to have this

new technology in volume production in

time for the 5x and 4x-nm Memory and

the 32-nm Logic nodes.

With ASML as your partner, you’re ideally

placed to be successful in this race.

Our dual-stage TWINSCAN platform lets

you combine the advantages of immersion

lithography with the familiarity and

reliability of dry metrology. What’s more,

we recently launched the hyper-NA age

with the 1.2-NA XT:1700Fi scanner, and we

announced the XT:1900i that will extend

NA to 1.35. Shipments of the XT:1700Fi

are reaching double figures, bringing the

total number of ASML immersion machines

shipped to well over 20.

Quick change

A unique driver in the race for immersion

is the relatively short timescale in which

the industry is aiming for introduction

– significantly shorter than the transition

from KrF to ArF. To meet that time frame,

tool suppliers, material vendors and

semiconductor manufacturers need

to work together to address the few

remaining issues. The current focus

for this cooperation is overlay and

defectivity performance.

Meeting the challenges

The XT:1700Fi also contains landmark

lithography optics. More than 20 lenses

and illuminators have already been

built, exhibiting excellent aberration

and polarization performance, clearly

supporting the imaging requirements

of the 45-nm and 32-nm nodes.

(see Fig. 1 and 2)

It is well known that the heat impact of

evaporating water influences overlay,

so delivering good overlay performance

from an immersion system brings extra

technical challenges. Recently, we’ve

made significant progress on methods

for reducing and compensating for these

evaporation heat sources. We expect the

overlay performance of our immersion

systems will soon match that of our

dry tools.

Turning to defect levels, ASML is meeting

its responsibility to reduce the machine

contribution. We’re working closely with

track suppliers on monitoring defects

and providing clean tools that minimize

particle defect levels. At the same

time, manufacturers need to look at

their processes to make sure they are

optimized for immersion techniques – as

this can have an impact on the overall

defect level of the process.

As we explained in the previous general

issue of Images, a huge amount of

progress has recently been made in

this area. Combined teams of ASML

and customer engineers have been able

Page 9: ASML’s customer magazine

9

ASML Images, Fall Edition �006

Immersion into production by Ron Kool, Christian Wagner

IPS

(%)

95

96

97

98

99

100

Multiple Systems

∆IP

S (%

)

0

0.5

1

1.5

2

2.5

Polarization Purity

Polarization Purity variation across field

Mean 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24

Lens Production

0

0.2

0.4

0.6

0.8

1.0

1.2

1.4

1400 1700i

Figure 1: Average polarization purity and polarization purity variation cross field for more than

20 illuminators. Excellent polarization performance for a maximum range of illumination settings at

full transmission and throughput.

Figure 2: Excellent performance of more than 20 catadioptric lenses.

to deliver defect levels as low as 3 per

wafer, comparable with the best dry

processes.

In addition to extending the capabilities

of immersion tools, ASML is helping

customers optimize their way of working

to get the most from today’s immersion

capabilities. This makes high-volume

immersion production viable much earlier

and allows manufacturers to get ahead of

the roadmap.

Moving forward with the XT:1�00Fi

The XT:1700Fi is now being shipped in

volume, and we expect to have delivered

more than 20 by the end of the year. The

first performance data is just starting

to come back. There is still work to be

done before immersion lithography

is completely ready for high-volume

manufacturing. That work is being

carried out right now. Armed with this

data, we believe the XT:1700Fi is ideal

for high-volume production down for the

5x and 4x-nm Memory and the 32-nm

Logic nodes.

Page 10: ASML’s customer magazine

10

EUV Era Begins

Customers receive Alpha Demo Tools

By Noreen Harned

Page 11: ASML’s customer magazine

11

ASML Images, Fall Edition �006

EUV Era Begins

Customers receive Alpha Demo Tools

By Noreen Harned

A milestone

ASML is serving as a critically enabling

supplier for the development of

extreme ultraviolet (EUV) lithography

technology, taking a leadership role in its

development. Following the successful

results from the early imaging qualification

earlier this year, ASML achieved a

milestone in the development of EUV

lithography: the industry’s first customer

shipments of EUV Alpha Demo Tools

(ADTs). ASML shipped these two full-field,

0.25 NA ADTs to research institutions

in Europe and the United States. The

nanoelectronics research institute IMEC

in Leuven, Belgium and the College of

Nanoscale Science and Engineering

(CNSE) of the State University of New

York (SUNY) at Albany, N.Y. will use

these ADTs as part of the industry’s

ongoing research into this next generation

lithography technology.

The ADT shipments are the culmination

of more than seven years’ work on the

part of a team of some 250 people within

ASML, Carl Zeiss and research partners

TNO Science and Industry and Philips

Applied Technology, representing a

total of 1,200 man years. In January of

this year that team first demonstrated

that the EUV concept, and specifically

the first complete ADT, would work:

ASML produced the first sub 200-nm

images with an ADT at ASML labs in

Veldhoven. A month later at the annual

SPIE Microlithography Conference, ASML

presented the industry with images of

40-nm and 35-nm features printed with

the EUV ADT, and by the summer was

able to demonstrate an industry first of

55 nm contacts with greater than 200 nm

depth of focus, imaged with conventional

illumination and no resolution

enhancement “tricks”. This imaging

work, done with a set up Projection

Optic Box, clearly demonstrates the

technology’s feasibility for 32 nm and

below production.

In the last six months, teams were working

on the two customer ADTs around the

clock, seven days a week, preparing them

for shipment; during that time the tools’

utilization was at 90 percent. But ASML’s

work with these ADTs is far from over,

even though they are now in customers’

hands. While the demo tools’ architecture

was based on the production-proven

TWINSCAN architecture, and future

production EUV tools use advanced

TWINSCAN technology, optimized for

EUV, many components of the ADTs are

still in a developmental stage, and will

require refinement as EUV R&D continues.

ASML is now setting up a dedicated

team who will support IMEC and SUNY

in the installation and operation of the

Alpha Demo Tools. ASML also remains

dedicated to working with its customers

and research partners to continue the

development of EUV tools beyond the

alpha stage and into volume production.

While a production-worthy EUV version

of TWINSCAN is still a few years off,

and much work remains for the entire

EUV supply chain, ASML is on track to

have EUV lithography tools ready for

production at the 32 nm node.

Page 12: ASML’s customer magazine

1�

Demonstrating commitment in the new Veldhoven Demo Lab by Dave Witko, Gerard van Rijen, Ted Paxton, Jan Hoefnagels, Jack Gemen

Abstract | Demonstrating ASML product

performance is critical for the adoption

of new systems and technology by

our customers. Historically, ASML

has conducted demo testing in our

factory’s process lab. However, with

ever-decreasing features sizes, low k1

demands, immersion lithography, and

aggressive defectivity levels, a dedicated

manufacturing-like environment is

required. As a result, ASML has built a

separate cleanroom that replicates as

closely as possible a chip manufacturer’s

working environment. This will allow

customers to continue purchasing

systems with confidence.

Why build a demo lab now

Performing a live demonstration of

ASML’s latest products is important

to customers who are evaluating new

system purchases. Historically these

demonstrations have been executed in the

factory’s onsite production process lab.

While far from replicating a chipmaker’s

own cleanroom environment, the lab

nonetheless provided sufficient capability

for gauging basic tool performance.

With the industry’s imaging requirements

rapidly plunging below 50 nm, however,

and with the advent of immersion

lithography, tool demonstrations now

take on added complexity, requiring more

sophisticated technology. As customers’

requirements push to extremely low k1

values, advanced metrology and analysis

capabilities are needed, as well as linked

scanner-track tools.

Birth of a demo lab

In the summer of 2005, ASML

committed to build a cleanroom solely

dedicated to demonstrating system

performance in a customer-like working

environment. Wafertrack manufacturer

Sokudo, also pledged equipment and

expertise. Sokudo will use the facility for

demonstrating their latest systems, and

will supply and operate the process tracks

that will link directly to ASML scanners.

In total, the cleanroom facility comprises

537 square meters in Veldhoven’s building

4E, providing the space necessary for

leading-edge demonstrations.

Scheduled to open in Q4 2006, the new

Demo Lab is laid out in such a way as

to provide the most flexible and efficient

working environment. Bays are provided

for two linked track-scanner clusters,

featuring ASML’s TWINSCAN.

Page 13: ASML’s customer magazine

13

ASML Images, Fall Edition �006

Efforts have been taken to realize an

environment that mimics that of the end

user as closely as practicalwith special

emphasis on meeting the cleanliness

standards necessary for demonstrating

aggressive defectivity levels. Care has

been taken to ensure the safety and

comfort of customers as well by adding

a conference room inside the facility,

separated from the ASML production floor.

To enhance service, the facility will be

dedicated exclusively to the demonstration

of leading technologies. A team of litho

experts will guide customers through the

demo process, and they will work in a

two-shift staffing scheme to significantly

reduce or eliminate downtime and

maximize facility access.

With the construction of this product

demo lab, we will be able to significantly

The new demo lab

provides the space

neccessary for leading-

edge demonstration

improve both the quality and quantity

of customer demonstrations as well as

better support commitments to internal

clients for product development, strategic

marketing, and engagement with

wafertrack and resist suppliers.

The investment made in the new

Demonstration Lab adequately

addresses the requirements of

effectively and efficiently demonstrating

our products, and it shows a true

commitment to our customers, both

external and internal. We are certain that

this initiative will benefit them for a very

long time.

Demonstrating commitment in the new Veldhoven Demo Lab by Dave Witko, Gerard van Rijen, Ted Paxton, Jan Hoefnagels, Jack Gemen

Page 14: ASML’s customer magazine

14

Abstract | The semiconductor industry

focuses on cost of ownership (CoO)

analysis to evaluate capital expenditure

decisions. While worthwhile, this

assessment tells only part of the story.

ASML emphasizes value of ownership

(VoO) to determine the true return our

customers receive on their imaging

investment. Because we are committed

to developing proven, innovative platforms

that enhance productivity, reliability and

profitability, ASML delivers value at every

stage of a system’s usable lifetime, from

initial purchase through production and

end-of-use resale.

Value of Ownership

In the semiconductor industry, cost of

ownership (CoO) analysis drives capital

expenditure decisions. But there is

another way to evaluate the merit of a

purchase or expense. Because CoO is

really an attempt to determine the value

you receive in return for your expenditure,

at ASML we first look at the value of

ownership (VoO) when we design

our systems.

In fact, it’s fair to say that maximizing

VoO is our top customer concern.

That’s why we place such a strong

emphasis on innovation in everything

we do, from design and implementation

to service and system enhancement.

We are committed to developing proven

platforms and processes that make your

fab more productive and profitable, not

just at the time of purchase, but at every

stage of a system’s usable lifetime, from

installation to resale.

Value stage #1: Capital Expenditure

We know that your total capital

expenditure is more than just your

scanner price. It’s your track system and

installation costs as well. So the fewer

scanners you purchase, the lower your

overall litho cell spend. ASML platforms

consistently deliver the industry’s highest

productivity, resulting in fewer tools

required for a given fab capacity that

lowers your capital outlay and operating

costs. In addition, our systems lead

to greater output per square foot and

greater ultimate fab capacity.

Value stage #�: Cost per Wafer

High-volume ASML productivity is the

result, in part, of superior imaging and

overlay performance that significantly

reduces rework. You need less tools

to produce more wafers faster, and

that higher output reduces your cost

per wafer.

Cost of ownership advantage

Value stage #3: Cost per Die

High-performance imaging and overlay

also results in higher yield. This is how

ASML innovation generates more good

die per wafer, lowering your cost per die.

Value stage #4: Time to Volume

The sooner your system is up and running,

the more value you will generate from it.

That’s why we emphasize accelerated

install schedules that minimize the

time your system goes from the dock

into full production. Our seasoned

The value of ASML innovation by Skip Miller

You can expect your hardworking ASML system

to be long-lasting, producing returns on your investment

for years to come

Tota

l cos

t per

waf

er

ASML Competition

Page 15: ASML’s customer magazine

1�

ASML Images, Fall Edition �006

ASML Competition

ArF KrF KrF i-Line

ArF KrF KrF i-Line

ArF KrF KrF i-Line

ArF KrF KrF i-Line

ArF KrF

ArF KrF KrF i-Line

ArF KrF KrF i-Line

ArF KrF KrF i-Line

ArF KrF KrF i-Line

ArF KrF KrF i-Line

ArF KrF KrF i-Line

ArF KrF KrF i-Line

Waf

ers

per h

our

0

20

40

60

80

100

120

140

ASML TWINSCAN

Competition

2001 2002 2003 2004 2005

customer support staff has extensive

knowledge on a proven, modular

platform that is specifically designed for

rapid implementation. Their experience

and expertise results in record-setting

installation times for our customers.

Value stage #�: Time to Yield

Getting to market first with new technology

is the name of the game. You’re fighting

the market price erosion curve, so the

sooner you get there, the longer you can

earn the premium price and maximize new

product revenue. ASML understands this,

and our CS team is geared to optimizing

your system quickly. Again, our experience

and proven platform shortens the learning

cycle and speeds up time to yield. Our goal

is always to get your wafers right, right out

the door.

Value stage #6: System Usable Lifetime

This is perhaps where ASML innovation

delivers the most value to our customers.

Our advanced modular design allows for

nearly limitless system enhancements

and upgrades. This level of extendibility

means your platform will last through

several generations of technology. You can

expect your hardworking ASML system to

be long-lasting, producing returns on your

investment for years to come.

Value stage #�: Resale Value

ASML’s reputation for reliability and

best-of-class imaging, overlay and

productivity makes your machine highly

desirable in the pre-owned equipment

market. Typically, ASML systems

retain much higher residual value than

competing machines. When the day

comes to sell or trade in your system, this

residual value will further reduce your net

capital expenditure. As you can see, the

value of ASML technology reaps rewards

throughout a system’s lifetime. This is not

innovation for innovation’s sake,

but the fulfillment of a real business

need to generate profits and

performance that you could not obtain

any other way. Creating value through

innovation is our top commitment to our

customers, and is the legacy we seek to

create with every system.

TWINSCAN 300 mm > 40% throughput advantage

Value of productivity at fab build out

1 - Capital expenditure

System price

7 - System resell value

6 - System usable lifetime

5 - Time to yield

4 - Time to volume

3 - Cost/die

2 - Cost/layer

Page 16: ASML’s customer magazine

16

System availability you can rely onby Jan-Evert van de Wetering

Abstract | ASML is systematically

enhancing machine reliability and

availability as part of our commitment

to continuously improving value of

ownership. Working together with our

customers and through a variety of

features, options and customer support

packages, we can deliver up to 97%

system availability. What’s more, the

high stability of our availability numbers

simplifies fab planning.

System availability is a key

consideration in fab management.

Improved availability means easier,

more cost-effective fab planning and

greater production capacity from the

same number of tools. But in this case

improved doesn’t just mean higher,

it also means more stable. Stable

availability (i.e. when availability doesn’t

vary much over time or between

systems) enables greater production

predictability.

Achieve stable mean system availabilities

as high as 97%

Page 17: ASML’s customer magazine

1�

ASML Images, Fall Edition �006

Through a number of system

enhancements and improved ways of

working, we’re increasing both the mean

availability and stability (defined by the

‘-minus 3σ value-’).

Designed for reliability

A key to the improved system availability

is an increased focus on reliability in the

design stage. We now set and achieve

reliability targets in all design projects.

In addition, we employ Failure Mode and

Effects Analysis (FMEA) for all our new

(sub)systems and system enhancements.

We’ve also introduced a number of

system enhancements and options

that improve availability. These include

SpotLess wafer table cleaning and

the Primetime e-diagnostics and

connectivity portfolio.

Smarter maintenance

To complement the ‘-designed-in-’

reliability benefits, we’ve also launched a

smart maintenance program. The goal of

this program is to reduce the amount of

preventative maintenance and switch to

condition-based, predictive maintenance.

By scheduling maintenance for when it

is actually needed, rather than based

on some conservative estimate, you can

significantly reduce downtime and cut

spare part and consumables costs.

The ability to carry out condition-based,

predictive maintenance depends strongly

on the level of e-diagnostics capabilities

enabled. Once a system is fully

connected via user-definable and user-

maintainable firewalls, its condition and

performance can be remotely monitored

from a ‘-smart maintenance center-’

near your fab. Using intelligent software

applications, we can determine the

best time to carry out any maintenance

actions. We can then ensure a

maintenance team arrives with the right

parts and the right skill set at the right

time – 24 hours a day, 7 days a week.

Cluster availability

In addition, the system offers the flexibility

to align maintenance schedules for your

lithography systems and your tracks.

For example, the Wait Watcher system

enhancement offers smart scheduling of

periodic and regular (semi-)automated

maintenance to match non-productive

intervals on the track. The end result is

higher total cluster availability and fewer

production stoppages.

Continuous learning

The above measures are all designed

to improve the mean availability. We’ve

also initiated a program to improve

the stability of our system availability

through enhanced spare part quality.

It isn’t possible to completely eliminate

parts failures, but by learning from each

failure we can significantly improve

overall quality.

Improved availability means easier,

more cost-effective fab planning and greater

production capacity

To do that, we carry out a Failure

Analysis Report (FAR) each time a major

part fails. This involves each link in our

supply chain. Within one month, we

determine why the part failed and how

we can avoid that happening again.

The results of the FAR are translated

into measures that can be applied

correctively to existing systems and

proactively to new ones to prevent

similar failures.

A team effort

Improving system availability is very

much a team effort. For the best results

we need your cooperation. Some of the

necessary system enhancements are

only available as options, and you must

have the appropriate service contracts

in place as well as the right e-diagnostic

functions enabled. With the appropriate

options, our “G” specification i-line,

KrF and dry ArF systems (which start

shipping in 2007) can achieve mean

system availabilities as high as 97%.

To find out about performance contracts

for availability and how ASML can help

you improve reliability and system

availability in your fab, please contact

your local ASML representative.

System availability you can rely onby Jan-Evert van de Wetering

Page 18: ASML’s customer magazine

18

Abstract | Photolithography lies at the heart

of the IC manufacturing industry. In the

first of a series of articles, we take an

introductory look at how photolithography

fits into the chip manufacturing process and

discuss some of the key issues.

Modern photolithography systems

are technological masterpieces. They

feature massive, multi-million dollar

lenses capable of resolving features

just tens of nanometers in size. What’s

more, photolithography systems are

expected to run 24 hours a day, 7 days

a week for weeks at a time. They push

the state-of-the-art not just in optics and

mechatronics, but also in manufacturing,

laser alignment, materials science and

even climate control.

Lithography systems work by directing

light of a certain wavelength through

a “mask” (also called a “reticle”),

which contains the pattern to be printed.

The lens focuses that light onto a wafer

coated with a light-sensitive material

known as a ‘photoresist’ (or simply a

‘resist’). Where the light hits the resist,

it causes a chemical reaction – either

hardening or softening the compound

depending on the type of resist.

Most photolithography tools don’t print a

pattern on the entire surface of the wafer in

one go. Instead they expose one small area

(known as the exposure field) at a time

until the whole wafer is covered. Once the

whole wafer has been exposed, the soft

resist and the silicon dioxide beneath it are

etched away leaving a three-dimensional

version of the reticle pattern on the wafer’s

surface. This process is repeated many

times to build up the layers that comprise

an integrated circuit.

That shrinking feeling

The semiconductor industry is continually

striving to create higher-performance,

more complex and more cost-efficient

ICs. Enabling this, the story of photo-

lithography is a quest to print ever smaller

features. As the minimum printable feature

size depends partly on the wavelength

of light used, photolithography has

progressed through a series of

wavelength jumps.

In the early 80s, when ASML was born,

photolithography typically used light in the

violet region of the visible spectrum.

The most common wavelength was

436 nm from the so-called g-line of a

high-pressure mercury vapor lamp.

State-of-the-art g-line systems could print

features down to 1 µm. In the mid 80s,

the semiconductor industry moved into the

ultraviolet with the transition to i-line

(365-nm) light from mercury lamps,

enabling resolutions of about 0.5 µm.

The start of the 90s saw the dawning

of the deep ultraviolet (DUV) age for

photolithography. Cutting-edge wafer

fabrication moved to 248-nm light

– produced by krypton-fluoride (KrF) lasers

rather than the mercury lamps previously

used. Around this time, developments in

areas such as illumination techniques,

reticles and resists allowed manufacturers

for the first time to print features smaller

First in a series

An introduction to photolithography by Bartel Carriere

The story of

photolithography

is a quest

to print ever smaller

features

Page 19: ASML’s customer magazine

19

ASML Images, Fall Edition �006

The lithography triangle

The performance of a photolithography tool is characterized by three key capabilities. Imaging, or the ability to consistently

resolve small features, governs the IC’s size (hence cost) and performance. Overlay describes how accurately a system can

print consecutive layers on top of each other. This affects the performance of the IC and the yield of good dies per wafer.

Finally productivity, measured by how many wafers a system can process in a fixed time, impacts on the cost of the IC and

the manufacturer’s profitability.

Over the next three editions of Images, we will be taking a closer look at each of these three issues. First up will be imaging.

than the wavelength of light used.

So, originally billed as the “quarter-micron

technology”, KrF was soon being used to

print features as small as 180 nm.

Photolithography moved further into

DUV at the end of the 90s with the

introduction of 193-nm argon-fluoride

(ArF) lasers. ArF is still the cutting-edge

production technology, printing features

down to 65 nm.

The ASML advantage

ASML has been at the forefront of

photolithography technology throughout

our 22 year history. As well as spearheading

these wavelength jumps, we’ve pioneered

numerous other technical innovations.

In our very first commercial system,

we introduced through-the-lens (TTL)

alignment – delivering extremely

accurate positioning of the pattern on

the wafer. In the late 80s, we began

using a modular system architecture

that enables easy system upgrades

and enhancements. And we started the

new millennium with a yet another new

concept for lithography systems – the

dual-stage TWINSCAN platform.

As the first (and currently only) dual-stage

lithography platform, TWINSCAN systems,

with their exceptionally high throughput,

unleash the true portential of 300-mm

manufacturing.

What’s next?

Current semiconductor production

typically uses ArF, KrF and i-line systems

for critical, mid-critical and non-critical

layers respectively. The most cutting-

edge production lines are just starting to

introduce immersion-based ArF systems

such as the TWINSCAN XT:1700Fi.

Immersion systems can print smaller

features at the same wavelength, opening

the door to 32-nm features. Looking

further to the future, photolithography

will eventually move into the extreme

ultraviolet (EUV) region with a wavelength

of 13.5 nm.

Page 20: ASML’s customer magazine

www.asml.com

Corporate Headquarters

De Run 6501

5504 DR Veldhoven

The Netherlands

Phone +31 40 268 30 00

U.S. Main Office

8555 South River Parkway

Tempe, AZ 85284 USA

Phone +1 480 383 4422

Asia Main Office

Suite 603, 6/F

One International Finance Center

1 Harbour View Street

Central, Hong Kong, SAR

Phone +852 2295 1168