analog eletronİs laoratory booklet - ticaret

128
FACULTY OF ENGİNEERİNG AND DESIGN ELECTRICAL-ELECTRONICS ENGİNEERING DEVISION ANALOG ELECTRONİCS LABORATORY BOOKLET 2016

Upload: others

Post on 14-Apr-2022

4 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: ANALOG ELETRONİS LAORATORY BOOKLET - Ticaret

FACULTY OF ENGİNEERİNG AND DESIGN

ELECTRICAL-ELECTRONICS ENGİNEERING DEVISION

ANALOG ELECTRONİCS LABORATORY

BOOKLET

2016

Page 2: ANALOG ELETRONİS LAORATORY BOOKLET - Ticaret

i

GENERAL RULES FOR LABORATORY:

1- Attendance to laboratory is 80%.

2- Any excuse is invalid.

3- Any make-up experiment will be done.

4- Evaluation of the experiments:

i. 20%, preliminary study to experiments.

ii. 60%, experiment.

iii. 20% technical report written by each students.

GENERAL RULES FOR EXPERİMENTS:

Preliminary study: Each student must know the aim of experiment and general behavior of

the experiment circuits. This is examined by the questions asked by the examiner.

Experiment evaluation: Solving the problems while examining the circuits, evaluating the

results of experiments.

Technical report: Each student must write a technical report about the experiment. Reports

must be given on the next week following the experiment.

Page 3: ANALOG ELETRONİS LAORATORY BOOKLET - Ticaret

ii

THE EXPERIMENTS WRİTTEN BELOW ARE IN TENTATIVE QUEE.

Each student must follow the website for the experiments that will done at that week.

Last three experiments will be done on a breadboard by each experiment group. The circuit

elements must be brought by the group members.

EXPERIMENTS (TENTATIVE) Experiment 1: Analyzing Non-Ideal Characteristics of Operational Amplifier (Op-Amp)

i. 1.V Determination of existence of input offset voltage. ii. 1.2 Adjusting Output Offset Voltage Of Operational Amplifiers iii. 1.3 Measuring The Input Bias Current Of Operational Amplifiers iv. 1.4 Measuring The Input Offset Current And Input Offset Voltage Of Operational

Amplifiers Experiment 2: Linear Application Of Op-Amp: Non-Inverting Amplifier

i. 1.1 Analyzing Dc Operation Of Operational Amplifiers ii. 1.5 Analyzing Ac Operation Of Inverting Amplifiers v. 1.6 Measuring Input And Output Impedances Of Inverting Amplifiers

Experiment 3: Frequency dependency of amplifiers

i. 1.10 Analyzing Input And Output Signal Range Of Operational Amplifiers ii. 1.14 Measuring Frequency Band Of Non-Inverting Amplifiers iii. 1.15 Analyzing Frequency-Gain Relation Of Non-Inverting Amplifier iv. 1.16 Analyzing The Phase Shift In Non-Inverting Amplifiers

Experiment 4: Linear Applications of Op-Amp

i. 2.3 Analyzing Operational Amplifier Operating As Summing Amplifier ii. 2.4 Analyzing Operational Amplifier Operating As Differential Amplifier iii. 2.5 Analyzing Operational Amplifier Operating As Differentiator iv. 2.6 Analyzing Operational Amplifier Operating As Integrator v. 2.8 Analyzing Operational Amplifiers Operating As Instrumentation Amplifier

Experiment 5: Non-Linear Applications of Op-Amp

i. 2.1 Analyzing Operational Amplifier Operating As Comparator ii. 2.2 Analyzing Operational Amplifier Operating As Comparator iii. 2.7 Analyzing Operational Amplifier Operating As Logarithmic Amplifier iv. Schmitt Trigger Circuit

Experiment 6: Non-Linear Applications of Op-Amp:

i. 3.1 Analyzing Operational Amplifier Operating As Constant Current Supply ii. 3.2 Analyzing Operational Amplifier Operating As Current-Voltage Converter iii. 3.3 Analyzing Operational Amplifier Operating As Voltage-Current Converter iv. 3.4 Analyzing Operational Amplifier Operating As Voltage Follower v. 3.5 Analyzing Operational Amplifier Operating As Half Wave Rectifier vi. 3.6 Analyzing Operational Amplifier Operating As Full Wave Rectifier vii. 3.7 Analyzing Operational Amplifier Operating As Low Pass Active Filter

Page 4: ANALOG ELETRONİS LAORATORY BOOKLET - Ticaret

iii

viii. 3.8 Analyzing Operational Amplifier Operating As High Pass Active Filter ix. 3.9 Analyzing Operational Amplifier Operating As Peak Detector

Experiment 7: Non-Linear Applications of Op-Amp:

i. 4.1 Analyzing Astable Multivibrators Constructed By Operational Amplifiers ii. 4.2 Analyzing Monostable Multivibrators Constructed By Operational Amplifiers iii. 4.3 Analyzing Bistable Multivibrators Constructed By Operational Amplifiers iv. 4.4 Analyzing Symmetric Square Wave Generator Constructed By Operational

Amplifier v. 4.5 Analyzing Symmetric Square Wave Generator Constructed By 555 Ic vi. 4.6 Analyzing Pulse Width Modulation Mode (Asymmetric) Square Wave Generator

Constructed By Op-Amp vii. 4.7 Analyzing Asymmetric Square Wave Generator Constructed By 555 Ic viii. 4.8 Analyzing Triangular, Sinusoidal And Square Wave Generator Constructed By Xr

2206 Ic Experiment 8: Power Amplifiers

i. 10.1 Examination Of Class A Amplifier ii. 10.2 Examination Of Class B Amplifier iii. 10.3 Examination Of Class C Amplifier

Experiment 9: Oscillators

i. 14.1 Examination Of Rc Phase Shift Oscillators ii. 14.2 Examination Of Lc Oscillators iii. 14.3 Examination Of Parallel Hartley Oscillators iv. 14.4 Examination Of Colpitts Oscillators v. 14.5 Examination Of Crystal Oscillators vi. 14.6 Examination Of Wien Oscillators

Experiment 10: Pulse Response Of Amplifiers Experiment 11: Feedback And Stability at Amplifiers Experiment 12: Analog Integrated Circuit Blocks

Page 5: ANALOG ELETRONİS LAORATORY BOOKLET - Ticaret

iv

OPERATIONAL AMPLIFIERS TRAINING SET

1. Power ON/OFF 2. (-12V)-0(+12V) Electronically protected symmetric DC supply 3. 1Hz-100KHz Function Generator (SINE, TRIANGLE, TTL) 4. DC Voltmeter 5. DC Voltmeter 6. 2mm / 4mm Adaptor 7. 2mm / 4mm Adaptor 8. 2mm / BNC Adaptor 9. 2mm / BNC Adaptor 10. Experiment modules hole

7 6

3

1 2 4 5

9

8

10

Page 6: ANALOG ELETRONİS LAORATORY BOOKLET - Ticaret

v

USAGE OF THE CIRCUITS ON THE OPERATIONAL AMPLIFIER TRAINING SET

1. POWER ON-OFF: It is used to apply power to the training set.

2. (-12V)-0-(+12V) ELECTRONICALLY PROTECTED SYMMETRIC DC SUPPLY: It is the

supply for the DC experiments. When short circuit occurs in the circuit, supply protects itself and the led at the output socket turns off. In order to operate the circuit again turn the power on/off switch off, wait for 5 seconds, turn it on again.

NOTE: The ground pin is independent. Be careful about this when connecting the

circuit.

3. 1HZ-100KHZ FUNCTION GENERATOR (SINE, TRIANGLE TTL): Sine, triangle, TTL square wave output, 5 level of frequency selection, frequency-amplitude adjust, 1 HZ-100 KHz adjustable signal generator.

NOTE: The ground pin is independent. Be careful about this when connecting the

circuit.

4. DC VOLTMETER: DC voltmeter with two levels (0-100mV and 0-99,9V) that will be used in the experiments.

5. DC VOLTMETER: DC voltmeter with two levels (0-100mV and 0-99,9V) that will be used in the experiments.

6. 2mm / 4mm ADAPTOR: The adaptor that is able to convert from 2mm to 4mm for the ease of circuit connections.

7. 2mm / 4mm ADAPTOR: The adaptor that is able to convert from 2mm to 4mm for the

ease of circuit connections.

8. 2mm / BNC ADAPTOR: The adaptor that is able to convert from 2mm to BNC connector for the ease of circuit connections.

9. 2mm / BNC ADAPTOR: The adaptor that is able to convert from 2mm to BNC connector for the ease of circuit connections.

10. EXPERIMENT MODULES HOLE: The hole in which the modules are fixed by the screws on two sides.

Page 7: ANALOG ELETRONİS LAORATORY BOOKLET - Ticaret

vi

OPERATIONAL AMPLIFIERS

Operational Amplifiers are the multiple staged, directly coupled, high gain differential

amplifiers which are used to amplify AC and DC signals. To obtain a stable controllable gain,

a feedback network should be constructed by peripheral elements. If negative feedback is

used, we obtain higher gains.

Operational amplifiers are linear amplifiers. It is possible to perform addition,

subtraction, integration and differentiation if they are used with appropriate circuit

elements.

Today, operational amplifiers are widely used in power supplies, all types of signal

generators, telecommunication equipments, computers, test and measurement devices and

industrial control systems.

There are eight important properties of operational amplifier circuits.

These are;

1- Their AC and DC gains are very high. (A=200000 V/V) 2- Their input impedances are very high. So, they do not load the signal generator at

the input. (Zi=) 3- Their output impedance is very low. So they can easily drive the output circuit.

(Zo=0). 4- The time delay between the input signal and the output signal is very low. 5- There is no signal at the output if there is no signal at the input. It amplifies without

distortion. 6- If they are used without feedback, the difference at the input is amplified to a

voltage close to the supply voltage. 7- Their frequency band is wide. (1MHz) 8- Their characteristic does not change with the temperature.

I- THE STRUCTURE OF OPERATIONAL AMPLIFIERS

Operational amplifiers are fabricated as integrated circuits. They are composed of the

blocks shown in Figure 1.1.

Figure 1.1

Page 8: ANALOG ELETRONİS LAORATORY BOOKLET - Ticaret

vii

1- Differential Amplifier: The base of the operational amplifiers is the differential amplifiers.

Figure 1.2

The symbol for the differential amplifier is given in Figure 1.2. It has two input pins and

two output pins.

Figure 1.3

The operation principle of differential amplifier is seen in Figure 1.3. Two different

signals (Vi1=1V, Vi2=0.2V) are applied to the input. The gain of both of the amplifiers are

assumed to be A=10 V/V.

Vo1= -Vi1.A= - 1.10 = - 10Volts

Vo2=Vi2.A=0,2.10=2Volts

Vdiff = Vo2+Vo1= A(Vi2 - Vi1 ) 2 + (-10) = - 8Volts

Differential amplifiers are either used with two inputs or with single input.

A- Differential amplifiers with two inputs B- Differential amplifiers with single input

Page 9: ANALOG ELETRONİS LAORATORY BOOKLET - Ticaret

viii

A-DIFFERENTIAL AMPLIFIERS WITH TWO INPUTS

Figure 1.4

A differential amplifier with two inputs is seen in Figure 1.4. The characteristics of the

transistors T1 and T2 are good matched. The circuit is common emitter type. The inputs are

applied to the bases and the outputs are taken from the collectors for both of the

transistors. There is a 180 degree phase difference between the input1 and the output1 pins

of the differential amplifier. Input1 and output2 are in phase. Similarly, there is a 180 degree

phase difference between the input2 and the output2 pins of the differential amplifier.

Input2 and output1 are in phase. So there is always a 180 degree phase difference between

the outputs.

If the same signal is applied to the inputs or if the inputs are connected to the chassis,

both of the output’s become zero. This condition may be satisfied by adjusting the

potentiometer P at the emitter.

Figure 1.5

In Figure 1.5 Vi1=3mV and Vi2=5mV are applied to input1 and input2 respectively. The

gain of the circuit is assumed to be A=20. Input1 is more negative than input2. So the

output1 is positive and the output2 is negative. In other words, input2 is more positive than

input1. So the output2 is negative and the output1 is positive.

If we call the difference between the input signals VD;

VD=Vi2-Vi1=5-3=2mV

Page 10: ANALOG ELETRONİS LAORATORY BOOKLET - Ticaret

ix

Vo1=Vd.A=2.20=40mV

Vo2=-(Vd.A) =-(2.20) =-40mV

As a second example let’s apply Vi1=-1mV to input1 and Vi2=4mV to input2. In that case, the

difference voltage becomes VD=4+1=5mV like two vectors in opposite directions. This situation is

shown in Figure 1.6.

Figure 1.6

Vo1=Vd.A=5.20=100mV

Vo2=-(Vd.A) =-(5/20) =-100mV.

Differential amplifiers are very good at DC amplification. Our experiment is performed by

applying DC voltage to the inputs. Voltages between

-5mV/0/ +5mV can be obtained by varying the potentiometers at the inputs. In order not to be

affected from temperature, dual transistors on the same package (2N2223) are used for T1 and T2.

Dual transistors are completely independent from each other.

Page 11: ANALOG ELETRONİS LAORATORY BOOKLET - Ticaret

x

B-DIFFERENTIAL AMPLIFIER WITH SINGLE INPUT

Figure 1.7

A differential amplifier with single input is seen in Figure 1.7. The characteristics of the

transistors T1 and T2 are the same. The circuit is common emitter type. The input is applied to the

base of transistor T1 and the output is taken from the collectors of both of the transistors. When the

base of the transistor T2 is connected to the chassis, the output signal is taken from the collector of

the transistor T2. There is always a 180 degree phase difference between the input1 and the output

pins of the differential amplifier with single input.

If the input is connected to the chassis, both of the outputs become zero. This condition may

be satisfied by adjusting the potentiometer P at the emitter.

Figure 1.8

In figure 1.8, VI=5mV is applied to the input and the gain of the circuit is assumed to be A=20.

So the output voltages are:

Vo1=-(Vi.A) =-(5.20) =-100mV

Vo2=Vi.A=5.20=100mV.

If Vi=-3mV is applied to the inputs, the output voltages are;

Vo1=-(Vi.A) =-(-3.20) =-(-60) = 60mV

Vo2=Vi.A=-3.20=-60mV.

Voltages between -5mV /0/ +5mV can be obtained by varying the potentiometer at the input.

Page 12: ANALOG ELETRONİS LAORATORY BOOKLET - Ticaret

xi

2- Offset Stage: Operational amplifiers have symmetric structures. When the same signal is applied to both of the inputs of the operational amplifiers (common mode operation), or no signal is applied to the inputs, or the inputs are shorted; the output must be zero. But due to the practical difference in the characteristics of the transistors or due to the temperature effects, there may be an unwanted signal at the output. That unwanted signal can be eliminated by the offset stage.

Offset stage is the stage where the symmetry operation is performed.

3- Gain Stage: The gain of the operational amplifiers without feedback is expected to be very high. So the output of the differential amplifier is connected to the gain stage. Gain stage is composed of cascaded amplifiers which are directly coupled. There may be undesired oscillations due to the phase shift in each amplifier stage. So, at most two amplifier stages are used in order to obtain stable operation.

4- Buffer Stage: All stages of the operational amplifiers are directly coupled. So the gain stage

becomes unstable due to the loading effect of the output stage. The buffer stage is used between the

gain and output stages in order to eliminate that loading effect. Buffer stage is a common collector

type transistor. In that connection type the input signal is applied to the base and the output signal is

taken from emitter.

There is no gain in this stage. The input impedance is high so it loads the signal generator.

The output impedance is low so it can supply sufficient current for the load.

5- Output Stage: B type symmetric (push-pull) power amplifiers are used at the output of

operational amplifiers. B type power amplifiers are the amplifiers that can supply sufficient current

for loads with small resistances. They are composed of a PNP and NPN type transistors in directly

coupled circuits. Input signal is applied to the bases of the transistors. Output signal is taken from the

common emitter.

Figure 1.9

B type symmetric power amplifier is seen in figure 1.9. When the input signal is positive, NPN

transistor becomes conductive; when the input is negative PNP transistor becomes conductive.

6- Protection Stage: It is the stage where the output current is limited between 20mA and 25 mA when the output is overloaded or when the output is grounded.

Page 13: ANALOG ELETRONİS LAORATORY BOOKLET - Ticaret

xii

II-CONNECTING OPERATIONAL AMPLIFIERS TO THE CIRCUIT

Inner structures of operational amplifiers are too complex. The most widely used type of

operational amplifiers, 741, is composed of almost 20 transistors depending on the manufacturer.

The important thing that one must know in the applications is the pins of the operational

amplifier and their function. Connecting operational amplifiers to the circuit is very simple. Generally

5 of the pins are used. The most widely used symbol and its pin numbers are given in figure 1.10.

Figure 1.10

Input Pins: There are two input pins ((+) and (-)) in operational amplifiers. In 741 IC operational

amplifier;

2nd pin is the (-) input. This pin is also called inverting input. If the input is applied to that pin,

there appears a 180 degree phase difference between the input and output signals.

3rd pin is the (+) input. This pin is also called non inverting input. If the input is applied to that

pin, the output signal becomes in phase with the input signals.

If the input voltages are equal to each other the output becomes zero.

In operational amplifiers the resistance between the inputs and the resistance between the

inputs and the ground is very high. So they do not load the signal source.

Output Pin: There is a single output pin for operational amplifiers. For 741 IC the output pin is

the 6th pin. The load resistor RL is connected between the output pin and the ground. The amplitude

of the output voltage is limited by the supply voltages. The maximum output voltage has amplitude

approximately 1V less than the supply voltage. That 1V drops on the transistors at the output stage.

The resistance between the output terminal and the ground is very low. So, sufficient current is

supplied to the resistive load at the output.

Supply Pins: There are two supply pins ((+) and (-)) of operational amplifiers. In 741 IC

operational amplifier;

4th pin is the (-) supply pin.

7th pin is the (+) supply pin.

In applications symmetric supplies are used in order to obtain stable operation. Operational

amplifiers may be supplied with 5Volt and 18Volt. The supply for operational amplifiers is given in

figure 1.11.

Page 14: ANALOG ELETRONİS LAORATORY BOOKLET - Ticaret

xiii

Figure 1.11

In the DC applications of operational amplifiers, symmetric power supplies must be used. In AC applications, single supply may be used if necessary. Then the other input should be connected to ground via a capacitor.

Figure 1.12

The AC operation of the operational amplifier with single supply is seen in figure 1.12. The

resistances are should be equal.

The supply is generally not shown in operational amplifier circuits. In that case, the supply pins

should be found in the datasheet of the operational amplifier and then they should be used in the

application.

Offset Pins: We know that, when the same signal is applied to both of the inputs of the

operational amplifiers, or no signal is applied to the inputs, or the inputs are shorted; the output may

be different than zero. That undesired signal may be unfavorable in sensitive applications. In order to

avoid that problem, two pins taken from the offset stage are used. In 741 IC 1st and the 5th pins are

the offset pins. The undesired signal is eliminated by connecting some circuit elements to those pins.

This operation is called offset adjustment. Offset adjustment will be covered in the further topics.

Page 15: ANALOG ELETRONİS LAORATORY BOOKLET - Ticaret

xiv

III- ELECTRICAL PARAMETERS OF THE OPERATIONAL AMPLIFIERS

1- Voltage Gain without Feedback (AA): It is the ratio of the output voltage to the input

voltage when there is no feedback in the operational amplifier circuit. AA voltage gain is more than

200000. As we know, the supply voltage limits the output voltage. If the operational amplifier is

supplied by –12V/0/+12V symmetric source, the maximum amplitude of the output signal is

approximately 11Volts.

Figure 1.13

The difference voltage applied to the inputs is ED. (ED=Vi1-Vi2 or ED=Vi2-Vi1). The output

voltage is Vo=AA.ED. According to these equations, the maximum amplitude of the signals that can

be applied to the inputs of the operational amplifier is ED=Vo/AA=11/200000=0,000055Volts

ED= 55V.

Control of a circuit with such a high gain is very difficult. So a feedback network from output to

the input is used to control the gain.

When we were analyzing the connection of operational amplifiers to the circuit, we saw that

they have two inputs and a single output. If the input signal is applied to the negative input, there

appears a 180 degree phase difference between the input and the output signals.

Figure 1.14

A phase inverting amplifier is seen in figure 1.14. They are shortly called inverting amplifiers

instead of phase inverting amplifiers. The resistances R1 and RF are the resistances that determine

the gain.

Page 16: ANALOG ELETRONİS LAORATORY BOOKLET - Ticaret

xv

The gain of the inverting amplifier is;

A=RF/R1.

If the input is applied to the positive input, the signals at the input and output become in

phase.

Figure 1.15

Non-inverting amplifier is seen in figure 1.15. Again, the resistances R1 and RF are the

resistances that determine the gain. The gain of the non-inverting amplifier is;

A=1+ (RF/R1).

The inverting and non-inverting amplifiers will be analyzed in detail in the further topics.

2-Input Bias Current (IB): It is the average value of the currents at the inputs of the operational

amplifier. Input bias current results in undesired DC voltage at the output. The input currents when

the input signals are zero are seen in figure 1.16.

Figure 1.16

Input bias current is IB=I1+IF.

3- Input Offset Current (IOC): It is the difference in the input currents when they are both grounded and the input offset is adjusted.

Page 17: ANALOG ELETRONİS LAORATORY BOOKLET - Ticaret

xvi

Figure 1.17

Input offset current is;

IOC= I1-I2 or IOC= I2-I1.

4- Input Offset Voltage (VIO): It is the difference in the input voltages when no signal is applied to the inputs of the operational amplifier.

Figure 1.18

Input Offset Voltage: VIO=VI1-VI2 or VIO=VI2-VI1.

5-Common Mode Rejection Ratio (CCMR): When the same signal is applied to the inputs of the

operational amplifier, the output signal must be zero. This operation is called common mode

operation. Common mode gain is shown by Ac.

Although the gains of the transistors are assumed to be equal theoretically, they are different

practically. If the gain of the transistors T1 and T2 are called A1 and A2 respectively;

Ac=A1+A2.

The quality of the differential amplifier is determined by the ratio of the differential gain (Ad)

to the common gain (Ac).

This ratio is called common mode rejection ratio (CMRR).

Page 18: ANALOG ELETRONİS LAORATORY BOOKLET - Ticaret

xvii

Ac

AdCCMR

It is better for the differential amplifier to have higher CMRR. That ratio varies from 1000 to

10000 in differential amplifiers.

6- Input Impedance (Zi): It is the resistance seen when 1KHz AC signal is applied one of the inputs when the other input is grounded. In electronic circuits, it is always desired to have high input impedance except for some special cases. If operational amplifiers operate without feedback or operate as non-inverting amplifier, they have very high input impedance. If they operate as an inverting amplifier, input impedance is low.

7- Output Impedance (Zo): It is the minimum resistance that can be driven by 1KHz AC signal at the output. In all cases, output impedances of operational amplifiers are very low. This is the situation that we always desire. So it is always possible to drive all kinds of loads.

8- Frequency Response: The gain of the operational amplifiers at DC operation or at frequencies close to DC (up to 10Hz) is approximately AA=200000. If the frequency of the input signal is increases, the gain decreases. This situation is shown graphically in figure 1.19.

Figure 1.19

As it is seen, the gain decreases linearly after 10Hz.

BWAA.. = constant.

The value of the constant is approximately 610 in the figure.

For example if the bandwidth BW is selected to be BW= 104, then AA becomes AA= 210 . If the

frequency is 1MHz, gain is 1.

9- SR-Slew Rate: The parameter shows the time delay between the changes in the output signal as a response of a change in the input signal. Operational amplifiers are good at DC amplification. In order to analyze the transfer of the input signal to the output, it is suitable to analyze DC operation.

Page 19: ANALOG ELETRONİS LAORATORY BOOKLET - Ticaret

xviii

Figure 1.20

As it is seen in figure 1.20, the output voltage is zero (Vo=0) when the input signals are equal to

eachother (Vi1=Vi2). If the non-inverting input voltage is greater than the inverting input voltage

(Vi2>Vi1), the output voltage is positive.

If the inverting input voltage is greater than the non-inverting input voltage (Vi1>Vi2), the

output voltage is negative. The voltage difference required to change the polarity of the output

voltage is 50Volt as it is calculated previously.

The origin of the graph (the intersection point of G and t axes) is the point where the input

signals changes. The output signal changes t1 seconds later. The rising rate is expressed in terms of

V/sec. The operational amplifier operates faster if that value is high. The rising rate is high in high

quality operational amplifiers.

10- The Output Signal Range (OSR) And The Input Signal Range (ISR):

The supply voltage of the operational amplifiers is generally between 5Volts and 18Volts. Since the operational amplifiers are fabricated by transistor technology, there is a 1V voltage drop at the output transistors and the output voltage is 1V less than the supply voltage. For example, if the

supply voltage is 12Volt, the output is 12-1=11Volt. Input signal limit is the voltage when the output voltage is saturated. The increase in the input voltage depends on the gain of the circuit. If we assume the gain of the circuit to be A=100, input signal range equals to the ratio of the output signal range to the gain.

.11011,0100

11mVV

A

OSRISR

If we apply voltages more than 110 mVolts to the circuit, the output signal is clipped and

undesired parasitic appear in the circuit.

Page 20: ANALOG ELETRONİS LAORATORY BOOKLET - Ticaret

1

Experiment 1

1.V Determination of existence of input offset voltage.

1.2 Adjusting Output Offset Voltage of Operational Amplifiers

1.3 Measuring The Input Bias Current of Operational Amplifiers

1.4 Measuring The Input Offset Current And Input Offset Voltage of Operational Amplifiers

EXPERIMENT MODULE : Y-0014 / 01

Page 21: ANALOG ELETRONİS LAORATORY BOOKLET - Ticaret

2

EXPERIMENT: 1.2

ADJUSTING OUTPUT OFFSET VOLTAGE OF OPERATIONAL AMPLIFIERS

PREPARATION INFORMATION:

When no signal is applied to the inputs of the operational amplifier, the voltage

between the input pins must be zero. But practically, due to the difference in the

characteristics of the transistors connected to the input pins, there may be a small voltage

difference. That difference is multiplied by the gain of the operational amplifier and

transferred to the output. That unbalanced situation is undesired in most of the applications.

That undesired voltage at the output is called output offset voltage (Voo). The output offset

voltage is generally prevented by connecting an adjustable resistor between the negative

supply voltage and the offset pins of the operational amplifier (generally the middle pins).

Figure 2.1

The circuit used to adjust the offset voltage is given in figure 2.1. The potentiometer P

is adjusted until zero voltage is obtained at the output while there is not any signal at the

input.

The output offset voltage is formed by three parameters.

1- Input bias current (IB) 2- Input offset current (IOC) 3- Input offset voltage (ViO)

Operational amplifiers are fabricated by using transistor technology. So, the input offset

voltage is increased by the increasing temperature and the operation of the circuit. That

increase is approximately 5µVolt for 1 0C increase in the temperature.

Page 22: ANALOG ELETRONİS LAORATORY BOOKLET - Ticaret

3

EXPERIMENT 1.V1 : MEASURING OUTPUT OFFSET VOLTAGE OF OPERATIONAL

AMPLIFIERS

Connect the circuit as shown in the figure

1- Apply power to the circuit. 2- Measure output voltage

3- Draw the circuit block diagram.

4- Write opamp input-output voltage relation equation.

5- Does the circuit satisfy the relation in 4. Why/Why not?

Page 23: ANALOG ELETRONİS LAORATORY BOOKLET - Ticaret

4

EXPERIMENT 1.2: EXPERIMENTAL PROCEDURE:

Connect the circuit as shown in the figure

6- Apply power to the circuit. 7- Set the middle pin of the potentiometer P1 to upper position. Measure the offset

voltage.

8- Set the middle pin of the potentiometer P1 to down position. Measure the offset voltage.

9- Adjust the potentiometer P1. Set the output offset voltage to zero. Explain that operation of the circuit.

Page 24: ANALOG ELETRONİS LAORATORY BOOKLET - Ticaret

5

EXPERIMENT: 1.3

MEASURING THE INPUT BIAS CURRENT OF OPERATIONAL AMPLIFIERS

PREPARATION INFORMATION:

Figure 3.1

The input currents of the operational amplifier are seen in figure 3.1. The input bias

current is the average of the input currents. As we know, input bias current is one of the

reasons for output offset voltage (VoO).

Input Bias Current is IB= I1+IF.

I1= 1R

VB IF=

VB Vo

RF

IB=

1

VB VB Vo

R RF

.

The current I1 is assumed to be zero since the voltage VB is too small. Then the effect

of the input bias current to the output offset voltage is calculated by VoO=IB.RF. The

methods given in figure 3.2 and 3.3 are used to minimize the effect of the input bias current

to the output offset voltage.

Figure 3.2 Figure 3.3

The resistance R1 is the input resistance and the resistance RF is the feedback

resistance in the figure. RP is the resistance used to minimize the effect of the input bias

current to the output offset voltage.

The value of the resistance RP is;

RP=RFR

RFR

1

.1.

Page 25: ANALOG ELETRONİS LAORATORY BOOKLET - Ticaret

6

EXPERIMENT: 1.3

EXPERIMENTAL PROCEDURE:

Connect the circuit as shown in the figure.

1- Apply power to the circuit. 2- The voltage at VM3 changes in a wide range while the middle pin of the

potentiometer P is at the down or upper position. In order to understand the experiment set the voltage at VM3 to +75mV.

3- What is that voltage measured at step 2? Why is it generated?

4- Open the short circuit with resistance R2 and measure the output offset voltage.

5- Why did the output offset voltage decrease/increase?

6- Calculate the input bias current (IB)?

7- Set the voltage at VM3 to -75mV, while R2 is shorted and then Open the short

circuit with resistance R2.

Page 26: ANALOG ELETRONİS LAORATORY BOOKLET - Ticaret

7

EXPERIMENT: 1.4

MEASURING THE INPUT OFFSET CURRENT AND INPUT OFFSET VOLTAGE OF

OPERATIONAL AMPLIFIERS

PREPARATION INFORMATION:

The input offset current is the difference between the currents when the inputs are

grounded and the output offset voltage is set to zero.

Figure 4.1

Input offset current is:

IiO= I1-I2 or IiO= I2-I1.

The input offset current causes the output offset voltage to increase VoO=RF.IiO times

when a signal is applied to the inputs of the operational amplifier.

As we know, input offset voltage is the voltage between the inputs when no signal is

applied to the inputs.

Figure 4.2

Input offset voltage is;

ViO= Vi1-Vi2 or ViO= Vi2-Vi1

Input offset voltage causes the output offset voltage to increase VoO=ViO.A times. (A)

is the gain of the operational amplifier.

Page 27: ANALOG ELETRONİS LAORATORY BOOKLET - Ticaret

8

EXPERIMENT: 1. 4

EXPERIMENTAL PROCEDURE:

Connect the circuit as shown in the figure.

1- Apply power to the circuit.

Set P potentiometer value ”0” at VM3

2- Read the values at VM1 and VM2.

3- Calculate the currents I1 and IB?

4- Calculate the input offset current (IiO).

Page 28: ANALOG ELETRONİS LAORATORY BOOKLET - Ticaret

9

5- Calculate output offset voltage created by the input offset current.

6- Calculate the input offset voltage (ViO)

Page 29: ANALOG ELETRONİS LAORATORY BOOKLET - Ticaret

10

Experiment 2

1.1 Analyzing Dc Operation Of Operational Amplifiers 1.5 Analyzing Ac Operation Of Inverting Amplifiers 1.6 Measuring Input And Output Impedances Of Inverting Amplifiers

EXPERIMENT MODULE : Y-0014 / 01

Page 30: ANALOG ELETRONİS LAORATORY BOOKLET - Ticaret

11

EXPERIMENT: 1.1

ANALYZING DC OPERATION OF OPERATIONAL AMPLIFIERS

PREPARATION INFORMATION:

Figure 1.1

Inverting operation of operational amplifier is given in figure 1.1. Operational

amplifiers are good at DC amplification. Input is applied to the negative pin of the inverting

amplifier. There is a 180 degree phase difference between the input and the output. If

positive signal is applied to the input, a negative signal is taken from the output with

amplitude as much as the gain. Similarly, if negative signal is applied to the input, a positive

signal is taken from the output with amplitude as much as the gain.

The resistance R1 is the input resistance and the resistance RF is the feedback

resistance in the circuit. The voltage between the negative input (2nd pin) of the operational

amplifier and the chassis is zero volts. For that case;

Vi= Ii.R1.

For the output Ii=IF, so,

Vo=Ii.RF=IF.RF.

In electronic circuits gain always equals to the ratio of the output to the input.

RI

RF

RIIi

RFIi

Vi

VoA

.

. (Ii is cancel out)

It must always be remembered that there is a 180 degree phase difference between

the inputs and the outputs of the inverting amplifier. For example, if the input voltage is

Vi=0,5 and the gain is A=20;

Vo=-(Vi.A) =-(0, 5 .20) =-10Volts.

As another example, if Vi=-0,2Volts;

Vo=-(Vi.A) =-(0,2. 20)=-(-4) =4Volts.

Operational amplifiers operate with both negative input signal and positive input

signal. So they are used for AC amplification. If the input and the output signals are

visualized by using an oscilloscope with two channels, phase relation is easily observed.

In our experiment set, in the operational amplifier experiments, we have chosen 10K,

50K, and 100K for resistance RF for simplicity in calculations. 50K resistance is obtained by

parallel combination of two 100K resistances since 50K is not a standard value.

Page 31: ANALOG ELETRONİS LAORATORY BOOKLET - Ticaret

12

EXPERIMENT: 1.1

EXPERIMENTAL PROCEDURE:

Connect the circuit as shown in the figure.

1- Apply power to the circuit. 2- Adjust the input voltage to values (VM1) given in Table 1 by using potentiometer P.

Take note of the output voltage (VM2) in each step.

Table 1

VM1 (Volt) VM2 (Volt)

0,200

0,400

0,600

3- Why is the output voltage negative??

4- What is the voltage gain of the circuit?

Page 32: ANALOG ELETRONİS LAORATORY BOOKLET - Ticaret

13

NOTE: Do not consider the sign of the output signal.

5- What does the gain of the inverting amplifier depend on?

6- Open the short circuit O-A and short circuit O-B. Take note of the output voltages for the inputs given in the 2nd step.

Table 2

VM1 (Volt) VM2 (Volt)

0,200

0,400

0,600

7- Calculate the gain of the circuit?

8- Does the equation A=1

2

R

RF satisfy the gain?

9- Does the operational amplifier operate as DC amplifier?

10- How should the supply be when the operational amplifier is operating as an DC amplifier?

Page 33: ANALOG ELETRONİS LAORATORY BOOKLET - Ticaret

14

EXPERIMENT: 1.5

ANALYZING AC OPERATION OF INVERTING AMPLIFIERS

NOTE: The preparation information is given in experiment 1.

EXPERIMENTAL PROCEDURE:

Connect the circuit as shown in the figure

1- Apply power to the circuit. Set the output of the function generator to sinusoidal wave with frequency 1 KHz and amplitude 1V peak to peak by using scope1.

2- Observe the input and the output signals on the oscilloscope screen. 3- Measure the amplitudes of the input and the output signals. Explain the reason.

4- What is the phase difference between the input and the output signals?

Page 34: ANALOG ELETRONİS LAORATORY BOOKLET - Ticaret

15

5- Open the short circuit O-A. Short the points O-B. Measure the gain of the circuit. Explain the reason.

6- Open the short circuit O-B. Short the points O-C. Measure the gain of the circuit. Explain the reason.

Page 35: ANALOG ELETRONİS LAORATORY BOOKLET - Ticaret

16

EXPERIMENT: 1.6

MEASURING INPUT AND OUTPUT IMPEDANCES OF INVERTING AMPLIFIERS

PREPARATION INFORMATION:

The operation frequency used while analyzing the AC parameters of electronic circuits

is 1 KHz all over the world.

A-Input Impedance (Zi): It is the resistance seen by the signal source when the

output is open circuit, the non-inverting input is grounded and 1 KHz sine wave is applied to

the inverting input of the operational amplifier.

Figure 6.1

The input current in the figure 6.1 is;

I1=1

1

R

VVi .

The input impedance of the circuit is;

1

1.1

1

1

1

1

1

VVi

RVVi

R

VVi

VVi

I

VViZi

11.11.1

1RRR

VVi

VViZi

.

The voltage measured at the input where the signal is applied is close to zero. That

means, the voltage drops on the resistance R1. So, the input impedance equals to the

resistance R1 and this value is small for electronic circuits. The signal source may be affected

by that small resistance. That situation is always undesired.

B.Output Impedance (Zo): The minimum resistance can be driven by the 1 KHz

sine wave obtained at the output when the output is floating. The amplitude of the output

signal (Vo) is measured while the output is open circuit. Without making any change, a

variable resistor is connected to the output. Voltage at the output is adjusted to Vo/2 by

using the variable resistor. The variable resistor is disconnected and the value of the resistor

is measured. That value is the output impedance. In other words, output impedance is the

resistance value at which the output signal corrupted.

The ouput impedance of the inverting amplifier is small. That means, inverting

amplifier can drive all types of loads. That is always an advantage for electronic circuits.

Page 36: ANALOG ELETRONİS LAORATORY BOOKLET - Ticaret

17

EXPERIMENT: 1.6

EXPERIMENTAL PROCEDURE:

Connect the circuit as shown in the figure.

1- Apply power to the circuit. Set the output of the function generator to sinusoidal

wave with frequency 1 KHz and amplitude 1V peak to peak by using scope1. 2- Measure the amplitude of the signal at Scope2.

3- Calculate the current passing through the resistance R1.

4- Calculate the input impedance of the inverting amplifier.

5- What is the relation between the input impedance and the resistance R1?

6- Change the resistance RF (short OB or OC). Is there any change in Scope2?

7- What is the effect of the resistance RF on the input impedance?

Page 37: ANALOG ELETRONİS LAORATORY BOOKLET - Ticaret

18

8- Take Scope2 to terminals of Scope3. Again short the points O-A. Measure the output voltage.

9- Short the points D-E. Adjust the potentiometer P2. Set the output voltage half of its value. Open the points D-E and measure the resistance between the points O-E.

10- What does this resistance value correspond to?

11- Open the short circuit between the points O-A. Short the points O-B. Measure the output voltage.

12- Short the points D-E. Adjust the potentiometer P2. Set the output voltage half of its value. Open short circuit between the points D-E and measure the resistance between the points O-E.

13- Does the resistance RF affect the output impedance?

14- Short the output pins (terminals of Scope3) via an ampermeter (1mA). Read the current value.

15- Short the output terminals. Does the system operate normally? What does this mean?

Page 38: ANALOG ELETRONİS LAORATORY BOOKLET - Ticaret

19

Experiment 3:

1.10 Analyzing Input And Output Signal Range Of Operational Amplifiers 1.14 Measuring Frequency Band Of Non-Inverting Amplifiers 1.15 Analyzing Frequency-Gain Relation Of Non-Inverting Amplifier 1.16 Analyzing The Phase Shift In Non-Inverting Amplifiers

EXPERIMENT MODULE : Y-0014 / 01

Page 39: ANALOG ELETRONİS LAORATORY BOOKLET - Ticaret

20

EXPERIMENT 1.10:

ANALYZING INPUT AND OUTPUT SIGNAL RANGE OF OPERATIONAL AMPLIFIERS

PREPARATION INFORMATION:

A-Output Signal Range (OSR): The supply voltage of the operational amplifiers is

generally between 5Volts and 18Volts. Since the operational amplifiers are fabricated by transistor

technology, there is a 1V voltage drop at the output transistors and the output voltage is 1V less than

the supply voltage. Since we use 5Volts symmetric power supply in our experiment set, the output

signal range is;

OSR= (5-1)= (4)Volts.

B- Input Signal Range (ISR): The range of the input signal depends on the gain of the

circuit. If we assume the gain to be 1, a signal with amplitude 4Volt can be applied to the input

according to the values above. If we assume the gain to be 10;

Gain= OSR/ISR;

mVOSR

ISR 40010

4

10

The output signal is clipped if a signal with amplitude higher than 400mVp is applied to the

circuit.

EXPERIMENT 1.10: EXPERIMENTAL PROCEDURE

Preliminary study: Determine the differences between 7805 and 7905. Why are they used

in the below circuit.

Connect the circuit as shown in the figure:

Page 40: ANALOG ELETRONİS LAORATORY BOOKLET - Ticaret

21

1- Set the amplitude of the function generator to minimum. Apply power to the circuit. 2- Check the voltages -5Volts and +5Volts with respect to the ground by using a

voltmeter. 3- Set the output of the function generator to sine 1KHz with minimum amplitude

(zero). 4- Increase the input voltage up to the output wave form is clipped from both sides.

At that instant, read the amplitude of the output voltage.

5- Why is the output signal clipped at 4,2Volts at the positive side?

6- Read the amplitude of the input signal at that instant.

7- What is the gain of the operational amplifier? Why?

8- Set the amplitude of the function generator to minimum. Open the short circuit between the points O-A. Short the points O-B. What is the gain of the circuit? Calculate.

9- Increase the input voltage up to the output wave form is clipped from both sides. At that instant, read the amplitude of the output voltage.

Page 41: ANALOG ELETRONİS LAORATORY BOOKLET - Ticaret

22

10- The output signal is clipped at 4,2Vpp again. So, what is the relation between the output signal, gain and the supply voltage?

11- Read the amplitude of the input signal at that instant.

12- What determines the input signal range?

Page 42: ANALOG ELETRONİS LAORATORY BOOKLET - Ticaret

23

EXPERIMENT: 1.14

MEASURING FREQUENCY BAND OF NON-INVERTING AMPLIFIERS

PREPARATION INFORMATION:

It is desired for the operational amplifiers to have the same gain while it is operating

with DC or with a high frequency signal. But it is difficult to satisfy that condition.

We know that the gain is very high at operations with DC signals and with signals close

to DC. If the frequency of the input signal is increased, the gain decreases.

Figure 14.1

Let’s apply a sinusoidal AC signal with amplitude 1Vpp and frequency 1KHz to the

circuit given in figure 14.1 and let’s assume that we obtain a signal with amplitude 6Vpp at

the output. If we increase the frequency slowly, we observe the decrease in the amplitude of

the output signal. The upper limit of the frequency band is the frequency when the

amplitude becomes 2/3 of the initial amplitude

43

12

3

2.6Vpp Volts.

That means, the operational amplifier operates efficiently from DC up to that

frequency. That frequency interval is called the band width.

Page 43: ANALOG ELETRONİS LAORATORY BOOKLET - Ticaret

24

EXPERIMENT: 1.14

EXPERIMENTAL PROCEDURE:

Connect the circuit as shown in the figure.

1- Apply power to the circuit. Set the output of the function generator to sinusoidal

wave with frequency 1 KHz and amplitude 1V peak to peak by using scope1. 2- Measure the amplitude of the output signal at Scope3.

3- Adjust the frequency of the function generator until the amplitude of the output

signal becomes 1/√2 of it. Measure the frequency at that instant.

4- What does this frequency value correspond to?

Page 44: ANALOG ELETRONİS LAORATORY BOOKLET - Ticaret

25

EXPERIMENT: 1.15

ANALYZING FREQUENCY-GAIN RELATION OF NONINVERTING AMPLIFIER

PREPARATION INFORMATION:

Since the gain of the operational amplifiers is very high at operations with DC signals

and with signals close to DC, their gain decreases with increasing frequency. That means,

their gain should be kept small, if they are used at high frequencies. As we know, the gain

is;

11

RFA

R

If that ratio is kept small while selecting the resistors, stable operation is satisfied at

high frequencies.

EXPERIMENT: 1.15

EXPERIMENTAL PROCEDURE:

Connect the circuit as shown in the figure.

Page 45: ANALOG ELETRONİS LAORATORY BOOKLET - Ticaret

26

1- Apply power to the circuit. Set the output of the function generator to sinusoidal wave with frequency 1 KHz and amplitude 1V peak to peak by using scope1.

2- Measure the amplitude of the output signal at Scope1.

3- Adjust the frequency of the function generator until the amplitude of the output

signal becomes 1/√2 of it. Measure the frequency at that instant.

4- What does this frequency correspond to?

5- How do we explain the relationship between the gain and the frequency bandwidth?

Page 46: ANALOG ELETRONİS LAORATORY BOOKLET - Ticaret

27

EXPERIMENT: 1.16

ANALYZING THE PHASE SHIFT IN NON-INVERTING AMPLIFIERS

PREPARATION INFORMATION:

In AC operation the output signal is desired to change at the same time with the input

signal. But, this condition is not satisfied generally. The output signal changes after some

time the input changes. That means there is phase shift in the operation.

The SR-slew rate is one of the electrical parameters of operational amplifiers. It

indicates how fast the output changes as a response to a change at the input.

The phase shift is small at low frequency operations. It increases with the increasing

frequency

The operational amplifiers should be chosen by considering their slew-rate given in

their datasheets.

EXPERIMENT: 1.16

EXPERIMENTAL PROCEDURE:

Connect the circuit as shown in the figure.

1- Apply power to the circuit. Set the output of the function generator to sinusoidal wave with frequency 1 KHz and amplitude 1V peak to peak by using scope1.

Page 47: ANALOG ELETRONİS LAORATORY BOOKLET - Ticaret

28

2- What is the phase difference between input and output signals? Plot the output signal under

the input one as the oscilloscope screen.

3- Set the frequency of the function generator to 50KHz. At that frequency, is the input signal

maximum when the output signal is minimum?

4- Set the frequency of the function generator to 100KHz. At that frequency, does the duration between the maximum point of the input signal and the minimum point of the output signal change?

Plot the output signal under the input one as the oscilloscope screen.

5- What does the delay between the input and output signals indicate?

6- How do you explain the relation between the frequency and the phase shift?

Page 48: ANALOG ELETRONİS LAORATORY BOOKLET - Ticaret

29

Experiment 4

2.3 Analyzing Operational Amplifier Operating As Summing Amplifier 2.4 Analyzing Operational Amplifier Operating As Differential Amplifier 2.5 Analyzing Operational Amplifier Operating As Differentiator 2.6 Analyzing Operational Amplifier Operating As Integrator 2.8 Analyzing Operational Amplifiers Operating As Instrumentation Amplifier

EXPERIMENT MODULE: Y-0014/02

Page 49: ANALOG ELETRONİS LAORATORY BOOKLET - Ticaret

30

EXPERIMENT: 2.3

ANALYZING OPERATIONAL AMPLIFIER OPERATING AS SUMMING AMPLIFIER

PREPARATION INFORMATION:

Figure 3.1

The use of operational amplifier as a summing amplifier is shown in figure 3.1. The summing

circuit multiplies each of the input voltages by the gain of the circuit. Then the results are summed

and transferred to the output. The number of inputs of the summing amplifier can be increased. The

important thing is that, the multiplication of the summation of the inputs with the gain of the circuit

must be smaller than the supply voltage. Otherwise, the output voltage becomes 1V less than the

supply voltage.

The summing amplifier operates as an inverting amplifier. As we know, there is a phase

difference with a degree of 180 between the input and the output signals of the inverting amplifiers.

The output voltage is;

Vo =

2.

21.

1V

R

RFV

R

RF.

If we choose the resistances as RF = R1= R2;

Vo = - (V1+V2).

If the summation of the input signals is negative, the output signal is positive.

Page 50: ANALOG ELETRONİS LAORATORY BOOKLET - Ticaret

31

EXPERIMENT 2.3: EXPERIMENTAL PROCEDURE

Connect the circuit as shown in the figure.

In the experiment, one of the voltages (VM1) is constant and the other one (VM2) is

variable.

1- Apply power to the circuit. Measure the voltage VM1. Take note of the voltage VM1 on table 1 in each step. Adjust the voltage V2 by using the potentiometer P in each step. Take note of the output voltage.

Table 1

VM1 (Volt) VM2 (Volt) Vo (Volt)

3,3 1

3,3 2

3,3 5

2- Does the summing circuit sum the input voltages?

Page 51: ANALOG ELETRONİS LAORATORY BOOKLET - Ticaret

32

3- Does the summing circuit operate as inverting amplifier?

4- Calculate the gain of the circuit.

5- Open the short circuit between the points O-A. Short the points O-B. Take note of the output voltage for the given voltage values V1 and V2 on table 1.

Table 2

VM1 (Volt) VM2 (Volt) Vo (Volt)

3,3 1

3,3 2

3,3 5

6- Calculate the gain of the circuit.

7- Did the summing circuit perform summation in each step?

Page 52: ANALOG ELETRONİS LAORATORY BOOKLET - Ticaret

33

EXPERIMENT: 2.4

ANALYZING OPERATIONAL AMPLIFIER OPERATING AS DIFFERENTIAL

AMPLIFIER

PREPARATION INFORMATION:

Figure 4.1

The operation of the operational amplifier as a differential amplifier is given in figure 4.1. As it is

seen, the circuit operates as inverting and non-inverting amplifier at the same time. Since these

operations are inverse of each other, the difference of the inputs is amplified at the output.

Let’s calculate the voltage, V3, at the non-inverting input. V2 is the input voltage. Then the

current passing through the resistances R2 and R3 is;

I = 32

2

RR

V

V3 = I.R3. If we place the current equation;

V3 =32

3.23.

32

2

RR

RVR

RR

V

.

The output voltage (Vo) is the difference of the voltages created by the inputs separately.

If we call the output created by the voltage at the inverting input (V1), Vo1; then,

Vo1 =

1

.1.1

R

RFVAV .

If we call the output created by the voltage at the non-inverting input (V2), Vo2; then,

Vo2 =

2

1.

32

3.2.3

R

RF

RR

RVAV .

The output voltage is the difference of the voltages Vo1 and Vo2.

Vo =

1

.1

1

1.

32

3.2

R

RFV

R

RF

RR

RV

Page 53: ANALOG ELETRONİS LAORATORY BOOKLET - Ticaret

34

If we choose the resistances as R1=R2=R3=RF, the gain of the circuit becomes unity. Then, the

output voltage is;

Vo= V2-V1

If we choose the resistances as R1=R2 and R3=RF, the output voltage is multiplied by the gain

of the inverting amplifier and becomes;

Vo = 1

.12R

RFVV .

The sign of the output is the sign of the input terminal with the bigger input signal. If the signal

at the inverting amplifier is greater, the output is negative; if the signal at the non-inverting amplifier

is greater, the output is positive.

EXPERIMENT 2.4 : EXPERIMENTAL PROCEDURE

Connect the circuit as shown in the figure.

In the experiment, one of the voltages (VM1) is constant and the other one (VM2) is

variable.

Page 54: ANALOG ELETRONİS LAORATORY BOOKLET - Ticaret

35

1- Apply power to the circuit. Measure the voltage VM1. Take note of the voltage VM1 on table 1 in each step. Adjust the voltage VM2 by using the potentiometer P in each step. Take note of the output voltage.

Table 1

VM1 (Volts) VM2 (Volts) Vo (Volts)

3,3 1

3,3 2

3,3 4

3,3 5

2- Does the differential circuit perform subtraction?

3- Calculate the gain of the circuit.

4- How is the sign of the output determined?

5- For the input voltages given step 1, calculate the output voltage assuming R1=R2=10K and R3=RF=20K

Page 55: ANALOG ELETRONİS LAORATORY BOOKLET - Ticaret

36

EXPERIMENT: 2.5

ANALYZING OPERATIONAL AMPLIFIER OPERATING AS DIFFERENTIATOR

PREPARATION INFORMATION:

Figure 5.1

Differentiator circuits are the circuits that convert the triangular wave at the input to square

wave as an output. The differentiator circuit constructed by operational amplifier is given in figure 5.1.

The differentiator circuit is an inverting amplifier circuit. The voltage at the inverting input of the

operational amplifier is equal to zero volts due to the inverting amplifier property. The current passing

through the capacitor is;

dt

dVCIC

1. .

Since the voltage at the inverting input is 0 (zero) volts, the output voltage is;

Vo = - (IF.RF).

IC = IF. So;

Vo= - RFdt

dVC .

1. .

As it is seen from the equation; the differentiator circuit, differentiates the triangular wave at its

input (dt

dV1), multiplies it with a time constant (RF.C), and transfers it to the output.

The differentiation operation is performed by the capacitor “C” in the circuit. At high

frequencies, capacitor “C” behaves as a short circuit. So the gain becomes very high. That high gain

results in undesired oscillations. In order to prevent this situation, a resistance is connected in series

with the capacitor “C”. That resistance plays the same role with the gain limiting resistance of the

inverting amplifier.

At high frequencies, the gain is A = RF/R1.

The cut-off frequency of the differentiator circuit (Fc) is;

CRFc .1.2

1 .

Page 56: ANALOG ELETRONİS LAORATORY BOOKLET - Ticaret

37

The following two conditions should be satisfied in order the circuit to operate as a

differentiator.

1- If the frequency of the input signal is greater than the cut-off frequency, the circuit does

not perform differentiation. If we call the input frequency (fi);

fcfi must be satisfied.

2- The time constant of the circuit is RF.C.

T= RF.C

The period of the input signal must be at least the time constant T=RF.C or more. If triangular

wave is applied to the input of a differentiator circuit, a square wave is taken from the output. If sine

is applied to the input, cosine is taken from the output. If square wave is applied to the input,

impulsive signals are obtained at the output.

EXPERIMENT 2.5: EXPERIMENTAL PROCEDURE:

Connect the circuit as shown in the figure.

Page 57: ANALOG ELETRONİS LAORATORY BOOKLET - Ticaret

38

1- Apply power to the circuit. Set the output of the function generator to triangular wave with frequency 1 KHz and amplitude 1V peak to peak by using scope1.

2- Observe the input and output signals on the oscilloscope screen, taking time as

reference scale plot output signal under the input one. Measure the amplitude of the output signal.

3- How does the output signal change with the input signal?

4- Set the frequency of the input signal to 5KHz. Measure the amplitude of the output signal. Explain the reason.

5- Calculate the cut-off frequency (Fc) of the circuit.

6- Apply a sinusoidal signal to the input with frequency 1 KHz and amplitude 1Vpp. Define the output signal.

Page 58: ANALOG ELETRONİS LAORATORY BOOKLET - Ticaret

39

EXPERIMENT: 2.6

ANALYZING OPERATIONAL AMPLIFIER OPERATING AS INTEGRATOR

PREPARATION INFORMATION:

Figure 6.1

The integrator circuits are the circuits that integrate the square wave at their inputs and

transfer it to the output as a triangle wave. They can be considered as the inverse of the differentiator

circuits. An integrator circuit is given in figure 6.1. Integrator circuit is an inverting amplifier circuit.

The voltage at the inverting input of the operational amplifier is equal to zero volts due to the

inverting amplifier property.

So, the current I1 is;

I1 = 1

1

R

V .

I1 = IF.

Vo = - ( tC 1 I1dt)

If we put the current I1 into the equation;

Vo= -( C1 t

1

1

R

Vdt)

Vo= -( tRC 0.

1V1dt) .

In order, the operational amplifier not to be saturated by the input offset voltage, the resistance

RF is connected in parallel with the capacitor C.

The cut-off frequency off the inverting amplifier is;

Fc= CRF..2

1

The following two conditions should be satisfied in order the circuit to operate as an integrator.

1- The frequency of the input signal must be greater than the cut-off frequency. If we call the

input frequency (fi);

Page 59: ANALOG ELETRONİS LAORATORY BOOKLET - Ticaret

40

fifc must be satisfied.

(It is the inverse of the condition for the differentiator)

2- The period of the input signal must be approximatelyCR .1

1.

If sine is applied to the input of the integrator circuit, -cosine is obtained from the output.

EXPERIMENT 2.6 : EXPERIMENTAL PROCEDURE

Connect the circuit as shown in the figure.

1- Apply power to the circuit. Set the output of the function generator to square wave

with frequency 1 KHz and amplitude 1V peak to peak by using scope1.

Page 60: ANALOG ELETRONİS LAORATORY BOOKLET - Ticaret

41

2- Observe the input and output signals on the oscilloscope screen, , taking time as reference scale plot output signal under the input one. Measure the amplitude of the output signal.

3- How does the output signal change with the input signal?

4- Set the frequency of the input signal to 5KHz. Measure the amplitude of the output signal.

5- Calculate the cut-off frequency of the circuit.

6- Apply a sinusoidal signal to the input with frequency 1 KHz and amplitude 1Vpp. Define the output signal.

Page 61: ANALOG ELETRONİS LAORATORY BOOKLET - Ticaret

42

EXPERIMENT: 2.8

ANALYZING OPERATIONAL AMPLIFIERS OPERATING AS INSTRUMENTATION

AMPLIFIER

PREPARATION INFORMATION:

Figure 8.1

The instrumentation amplifier constructed by three operational amplifiers is given in figure 8.1.

The instrumentation amplifier is used where high accuracy is desired. The fundamental element of the

circuit is the 3rd operational amplifier which performs subtraction. In front of that differential amplifier,

two non-inverting amplifiers are used due to their high input impedance. The input signals are applied

to the 1st and 2nd operational amplifier. The variable resistance RX controls the gain of the circuit. The

gain of the circuit is inversely proportional to the resistance of RX. When designing the

instrumentation amplifier, all the resistances are selected to be equal (except for some special

applications).

To analyze the circuit, let’s assume the input voltages to be such that V1V2.

The current, I, passing through the resistance RX is;

I=RX

VV 21.

The current passing through the resistance RX also passes through the resistances R1 and R2.

If we call the voltage through the resistances R1 and R2 as VR;

VR= I.R1= I.R2

Since all the constant resistances in the circuit are equal, it can be written that;

VR= I.R

If we put the current equation into the equation above;

VR= (

RX

VV 21 ).R

The voltage at the non-inverting input of the differential amplifier is;

Page 62: ANALOG ELETRONİS LAORATORY BOOKLET - Ticaret

43

Vo1= V1+VR

The voltage at the inverting input of the differential amplifier is;

Vo2= V2-VR

If we call the difference voltage at inputs of the differential amplifier Vd;

Vd= Vo1-Vo2

Since the gain of the circuit is 1;

Vo= Vd= Vo1-Vo2

If we put the Vo1 and Vo2 equations into the equation above;

Vo= (V1+VR)-(V2-VR)

Vo= V1+VR-V2+VR

Vo= V1-V2+2VR

If we put the VR equation into the equation above;

Vo= V1-V2+2.RX

VV 21.R

Vo= (V1-V2) +2. (

RX

VV 21 ).R

If the equation is taken into (V1-V2) parenthesis;

Vo= (V1-V2).

RX

R21

As it is seen from the equation above, the gain of the instrumentation amplifier is directly

proportional to the differential voltage (V1-V2) and it is inversely proportional to the resistance RX.

The resistance R3 is used to limit the gain in our experiment circuit. In our circuit RX= P3+R3.

For example, let’s assume that V1=1Volt and V2=0,8Volt is applied to the inputs of the

instrumentation amplifier and the resistance R is 5K. Let’s calculate the maximum and minimum

output voltages if the resistance RX varies between 0,5K and 3K.

The output voltage (Vo) is minimum when the resistance RX is 3K.

Vo(MIN)= (V1-V2).

RX

R21

Vo(MIN)= (1-0,8). KKR

35.21

Page 63: ANALOG ELETRONİS LAORATORY BOOKLET - Ticaret

44

Vo(MIN)= 0,2.

K

K

3

101

Vo(MIN)= 0,2. 33,31

Vo(MIN)= 0,2.4,33

Vo (MIN) = 0,86V.

The output voltage (Vo) is maximum when the resistance RX is 0,5K.

Vo(MAX)= (V1-V2).

RX

R21

Vo(MAX)= (1-0,8).

K

K

5,0

5.21

Vo(MAX)= 0,2.

5,0

101 K

Vo(MAX) = 0,2. 201

Vo(MAX) = 0,2.21

Vo(MAX) = 4,2V

The output voltage (Vo) may be changed between 0,86Volts and 4,2Volts by using the

resistance RX.

EXPERIMENT 2.8: EXPERIMENTAL PROCEDURE

Connect the circuit as shown in the figure.

NOTE: In the circuit, RX=R3+P3. If the variable resistance P3 is set to zero;

Page 64: ANALOG ELETRONİS LAORATORY BOOKLET - Ticaret

45

RX= R3+P3=1K+0=1K.

If the variable resistance P3 is set to its maximum value;

RX= R3+P3=1K+2K2=3K2.

All the resistances in the circuit are 10K.

1- Apply power to the circuit. Adjust the input voltage (V1) of the instrumentation amplifier to 0,8Volts by using the potentiometer P1 and adjust the input voltage (V2) of the instrumentation amplifier to 0,5Volts by using the potentiometer P2.

2- Take note of the output voltage on table 1 when the resistance RX is 1K and 2K.

Note: Measure RX using ohmmeter to set the given values.

Table 1

RX (K) Vo (Volt)

1K

2K

3- What is the relation between the resistance RX and the gain of the circuit?

4- Why is the output signal negative?

5- Calculate the output voltage (Vo) when the resistance RX is 1K and 2K. Compare with the experimental results.

Page 65: ANALOG ELETRONİS LAORATORY BOOKLET - Ticaret

46

Experiment 5

2.1 Analyzing Operational Amplifier Operating As Comparator 2.2 Analyzing Operational Amplifier Operating As Comparator 2.7 Analyzing Operational Amplifier Operating As Logarithmic Amplifier Schmitt Trigger Circuit

EXPERIMENT MODULE: Y-0014/02

Page 66: ANALOG ELETRONİS LAORATORY BOOKLET - Ticaret

47

EXPERIMENT: 2.1

ANALYZING OPERATIONAL AMPLIFIER OPERATING AS COMPARATOR

PREPARATION INFORMATION:

Figure 1.1

The connection for comparator operation of operational amplifier is given in figure 1.1.

Feedback is not used in comparator circuits. The open loop gain of operational amplifiers is very high

(AA=200000) when there is no feedback connection. Even a small voltage difference at the input pins

is multiplied by the open loop gain and transferred to the output. The voltage obtained at the output

is very close to the supply voltage because the open loop gain is very high.

In comparator circuits, generally a reference voltage is applied to one of the input pins. The

voltage across the terminals of the zener diode (VZD) is used for the reference voltage in figure 1.1.

The comparator circuit given in figure 1.1 is similar to non-inverting amplifiers. Because, the input

signal (V1) is applied to the positive (non-inverting) terminal of the Op-Amp. If the input signal is

smaller than the reference voltage, in other words, if it is more negative with respect to the reference

voltage (V1VZD), the output is negative. If the input signal is greater than the reference voltage, in

other words, if it is more positive with respect to the reference voltage, the output is positive.

Figure 1.2

A comparator similar to inverting amplifier is given in figure 1.2. Different than the non-inverting

amplifier, the reference voltage (VZD) is applied to the non-inverting input, the input voltage (V1) is

applied to the inverting input. If the input signal is smaller than the reference voltage (it is more

negative with respect to the reference voltage ((V1VZD)), the output is positive. If the input signal

is greater than the reference voltage (it is more positive with respect to the reference voltage

(V1VZD)), the output is negative.

Page 67: ANALOG ELETRONİS LAORATORY BOOKLET - Ticaret

48

The output is zero in both comparator types, if the input signals are equal. The comparator

circuits constructed by operational amplifiers are very sensitive. In the experiments, the difficulty in

making the two inputs equal by using potentiometer P rises from the sensitivity of the comparator

circuit constructed by operational amplifier.

EXPERIMENT 2.1: EXPERIMENTAL PROCEDURE:

Connect the circuit as shown in the figure.

1- Apply power to the circuit. Measure the voltage (VZD) across the terminals of the zener diode connected to the circuit. What is that voltage called?

2- By adjusting the potentiometer P, satisfy the condition VM1=VZD. Measure the output voltage when that equality is satisfied. Explain the reason.

Page 68: ANALOG ELETRONİS LAORATORY BOOKLET - Ticaret

49

3- Take note of the reference voltage in each step on table 1. Adjust the voltage V1 to the values given in the table by using potentiometer P for each step. Take note of the output voltage.

Table 1

VZD (Volt) VM1 (Volt) Vo (Volt)

3,3 1

3,3 2

3,3 4

3,3 5

4- Does the comparator circuit operate similar to the non-inverting amplifier?

Page 69: ANALOG ELETRONİS LAORATORY BOOKLET - Ticaret

50

EXPERIMENT: 2.2

ANALYZING OPERATIONAL AMPLIFIER OPERATING AS COMPARATOR

NOTE: The preparation information is given in experiment 2.1.

EXPERIMENTAL PROCEDURE:

Connect the circuit as shown in the figure.

1- Apply power to the circuit. Measure the voltage (VZD) across the terminals of the zener diode (between the points A-O) connected to the circuit. What is that voltage called?

2- By adjusting the potentiometer P, satisfy the condition VM1=VZD. Measure the output voltage when that equality is satisfied. Explain the reason.

Page 70: ANALOG ELETRONİS LAORATORY BOOKLET - Ticaret

51

3- Take note of the reference voltage in each step on table 1. Adjust the voltage V1 to the values given in the table by using potentiometer P for each step. Take note of the output voltage.

Table 1

VZD (Volt) VM1 (Volt) Vo (Volt)

3,3 1

3,3 2

3,3 4

3,3 5

4- Does the comparator circuit operate similar to the inverting amplifier? Why?

Page 71: ANALOG ELETRONİS LAORATORY BOOKLET - Ticaret

52

EXPERIMENT: 2.7

ANALYZING OPERATIONAL AMPLIFIER OPERATING AS LOGARITHMIC

AMPLIFIER

PREPARATION INFORMATION:

Figure 7.1

The circuit connection for logarithmic amplifier operation of operational amplifier is given in

figure 7.1. The circuit is an inverting amplifier circuit. A transistor is used instead of the feedback

resistor. The logarithmic amplification is due to the base-emitter junction property of the transistor.

For a transistor;

VBE = 60mV.log10 IO

IC .

IO (leakage current) is constant at 10-13A for room temperature (18C-20C). As expressed

in the equation, the linear variation in the input voltage V1 is transferred to the output being

multiplied by a logarithmic gain.

If the input voltage increases 10 times, the collector current IC also increases 10 times. Since

Log1010= 1, the output voltage increases 60mV.

For example, when the input voltage is 50mV and the output voltage is -510 mV, if the input

voltage is made 500 mV by being increased 10 times, the output voltage becomes -570 mV by

increasing 60 mV. If the input voltage is increased 10 times again and made 5V, the output voltage

becomes -630 mV by increasing 60 mV. As it is seen, in the first step the output voltage increased 60

mV as a result of 450 mV increase at the input. In the second step the output voltage increase 60 mV

again as a result of 4,5 V increase at the input. That means, the output voltage increases

logarithmically.

If we calculate the increase at the output as result of 4 times increase at the input;

Vo = 60mV.log104

Log104 = 0,60

Vo = 60mV.0,60

Vo = 36mV (the increase at the output)

Page 72: ANALOG ELETRONİS LAORATORY BOOKLET - Ticaret

53

EXPERIMENT 2.7: EXPERIMENTAL PROCEDURE

Connect the circuit as shown in the following figure.

1- Apply power to the circuit. Adjust the input voltage VM1 to the values given in table 1 by using the potentiometer P. Take note of the output voltage in each step.

Table 1

VM1 Vo

50 mV

500 mV

5 V

2- Why is the output voltage negative?

Page 73: ANALOG ELETRONİS LAORATORY BOOKLET - Ticaret

54

3- If the input voltage is made V1=250mV, how much the output voltage increases?

4- If the input voltage, V1, is 2,5V, how much the output voltage increases?

5- Is the increase at the output logarithmic? Why?

Page 74: ANALOG ELETRONİS LAORATORY BOOKLET - Ticaret

55

Experiment 6:

3.1 Analyzing Operational Amplifier Operating As Constant Current Supply 3.2 Analyzing Operational Amplifier Operating As Current-Voltage Converter 3.3 Analyzing Operational Amplifier Operating As Voltage-Current Converter 3.4 Analyzing Operational Amplifier Operating As Voltage Follower 3.5 Analyzing Operational Amplifier Operating As Half Wave Rectifier 3.6 Analyzing Operational Amplifier Operating As Full Wave Rectifier 3.7 Analyzing Operational Amplifier Operating As Low Pass Active Filter 3.8 Analyzing Operational Amplifier Operating As High Pass Active Filter 3.9 Analyzing Operational Amplifier Operating As Peak Detector

MODULE EXPERIMENT: Y-0014 / 03

Page 75: ANALOG ELETRONİS LAORATORY BOOKLET - Ticaret

56

EXPERIMENT: 3.1 ANALYZING OPERATIONAL AMPLIFIER OPERATING AS CONSTANT CURRENT

SUPPLY

PREPARATION INFORMATION:

Figure 1.1

Operational amplifier operating as a constant current source is given in figure 1.1.

These are the circuits that do not supply current over the adjusted value even the load is

zero. They are generally used for the power supplies in the laboratories. Since their output

currents are limited they are necessary in testing expensive devices.

The output signal is negative because the control voltage of the circuit is applied to the

inverting input of the operational amplifier. As it is seen, the feedback is applied to the

inverting and non-inverting inputs at the same time.

The resistance RY is used as the load. Let’s adjust the position of the potentiometer P

such that the current passing through the load is 5mA. If we short circuit the load without

doing any other change, we see that the output current is still 5mA. For proper operation,

the resistances should be chosen in a way that;

R1=R2

R3=R4+R5.

The output current is;

I= VM.5.1

3

RR

R

Page 76: ANALOG ELETRONİS LAORATORY BOOKLET - Ticaret

57

EXPERIMENT 3.1: EXPERIMENTAL PROCEDURE:

Connect the circuit as shown in the figure.

1- Apply power to the circuit. Adjust the voltage VM to values given in table 1 by using

potentiometer. Take note of the output current (I) values in each step. 2- Short circuit the point A-B. So, the load RL=0R. For this situation take note of the

output current (I) for the input voltages given in table 1.

Table 1

VM (Volt) I (mA) RL= 100R I (mA) RL= 0R

0

1

2

3

4

5

Page 77: ANALOG ELETRONİS LAORATORY BOOKLET - Ticaret

58

3- Does the circuit operate as a constant voltage source?

4- Why is the output current signal (I) negative?

Page 78: ANALOG ELETRONİS LAORATORY BOOKLET - Ticaret

59

EXPERIMENT: 3.2

ANALYZING OPERATIONAL AMPLIFIER OPERATING AS CURRENT-VOLTAGE

CONVERTER

PREPARATION INFORMATION:

Figure 2.1

The circuit for the operational amplifier operating as a current-voltage converter is

given in figure 2.1. These types of circuits are used where adjustable voltage is required.

The circuit is an inverting amplifier circuit. The inverting input current is controlled by a

potentiometer. Only the adjustable resistance property of the potentiometer is used because

only two terminals of it is used.

The output voltage increases with the increasing inverting input current of the

operational amplifier. The output voltage is negative due to the inverting amplifier property.

For proper operation of the circuit, the resistances should be selected as;

R1=R2.

The output voltage is;

Vo=Ii.R1

Page 79: ANALOG ELETRONİS LAORATORY BOOKLET - Ticaret

60

EXPERIMENT: 3.2

EXPERIMENTAL PROCEDURE:

Connect the circuit as shown in the figure.

1- Apply power to the circuit. Adjust the current (I) to values given in table 1 by using

potentiometer. Take note of the output voltage in each step.

I (A) Vo (Volt)

10

20

30

40

Table 1

Page 80: ANALOG ELETRONİS LAORATORY BOOKLET - Ticaret

61

2- In the circuit R1=100K. In one of the steps, calculate the equation Vo=I.R1. Compare the mathematical result with the experimental result.

Page 81: ANALOG ELETRONİS LAORATORY BOOKLET - Ticaret

62

EXPERIMENT: 3.3

ANALYZING OPERATIONAL AMPLIFIER OPERATING AS VOLTAGE-CURRENT

CONVERTER

PREPARATION INFORMATION:

Figure 3.1

The circuit for the operational amplifier operating as a voltage-current converter is

given in figure 3.1. The circuit properties are the same with the constant current supply.

The polarities of the input and output signals are the same because the control voltage

is applied to the non-inverting input of the operational amplifier. A N channel FET transistor

is used in the circuit in order the operational amplifier not to affect the output. Also a NPN

power transistor is used to increase the output current.

Let’s adjust the potentiometer such that the current passing through the load is 50mA.

If we short circuit the output load without doing any other change, we see that the output

current is still 50mA.

The output current is;

I=1RVi

Page 82: ANALOG ELETRONİS LAORATORY BOOKLET - Ticaret

63

EXPERIMENT: 3.3 : EXPERIMENTAL PROCEDURE:

Connect the circuit as shown in the figure.

1- Apply power to the circuit. Adjust the voltage VM to values given in table 1 by using potentiometer. Take note of the output current (I) values in each step.

2- Short circuit the point A-B. So, the load RL=0R. For this situation take note of the output current (I) for the input voltages given in table 1.

VM (Volt) I(mA) RL=10R I(mA) RL=0R

0

1

2

3

4

5 50 50

Table 1

Page 83: ANALOG ELETRONİS LAORATORY BOOKLET - Ticaret

64

3- Is the circuit current (I) controlled by the input voltage?

4- Does the circuit operate as a constant voltage source?

Page 84: ANALOG ELETRONİS LAORATORY BOOKLET - Ticaret

65

EXPERIMENT: 3.4

ANALYZING OPERATIONAL AMPLIFIER OPERATING AS VOLTAGE FOLLOWER

PREPARATION INFORMATION:

Figure 4.1

The circuit for the operational amplifier operating as a voltage follower is given in

figure 4.1. The voltage follower is the non-inverting amplifier circuit with gain 1 and output

signal in phase with the input signal. As we know, the input impedance of the non-inverting

amplifier is very high and the output impedance is low.

The voltage follower circuit is used to connect circuits with high output impedances to

circuits with low input impedances. If circuits with high output impedances are directly

connected to circuits with low input impedances, the amplitude of the output signal

decreases and there appears some losses. The voltage follower circuit is used as a buffer

between these two types of circuits for proper operation of the circuits.

The frequency band of the voltage follower circuit is very high compared to the

inverting and non-inverting amplifiers.

EXPERIMENT: 3.4 : EXPERIMENTAL PROCEDURE:

Connect the circuit as shown in the figure.

1- Apply power to the circuit. Set the output of the function generator to sign with amplitude 1Vpp and frequency 1 KHz.

Page 85: ANALOG ELETRONİS LAORATORY BOOKLET - Ticaret

66

2- Observe the input and output signals at the same time. Explain the amplitude and phase relation of the input and output signals.

3- Set the amplitude of the input voltage to 5Vpp. Does the input-output relation change?

4- Set the frequency of the input signal to 100 KHz. Set the amplitude to 1Vpp again. What is the relation between the amplitudes of input and output signals. What does this mean?

Page 86: ANALOG ELETRONİS LAORATORY BOOKLET - Ticaret

67

EXPERIMENT: 3.5

ANALYZING OPERATIONAL AMPLIFIER OPERATING AS HALF WAVE RECTIFIER

PREPARATION INFORMATION:

AC is converted to DC generally by using diodes. Diodes are semiconductor elements

fabricated by using silicon or germanium. The minimum voltage that makes the diode

conductive is 0,1 volts for germanium diodes and 0,7 volts for silicon diodes. That means AC

voltages smaller than 0,1 volts cannot be rectified even germanium diodes are used. The AC

voltages close to the voltage that makes a diode conductive cannot be rectified properly.

For these situations, the rectification operation is performed by using operational

amplifiers.

Figure 5.1

A half wave rectifier constructed by operational amplifier is given in figure 5.1. The

circuit operates as an inverting comparator because the input signal is applied to the

inverting input of the operational amplifier. There is phase difference with a degree of 180

between the input and output signals.

Since the non-inverting input of the operational amplifier is connected to the ground,

the reference voltage of the comparator circuit is zero (VR=0).

When the input signal is positive, the signal at the output (node A) is negative. Diode

D2 becomes conductive and negative feedback is applied to the inverting input. There is no

signal at the output terminal.

When the input signal is negative, the signal at the output (node A) is positive. Diode

D1 becomes conductive. A positive signal is observed at the output. The amplitudes of the

input and the output signals are the same because the gain of the inverting amplifier circuit

is;

A= 1R

RF = K

K

10

10= 1

Page 87: ANALOG ELETRONİS LAORATORY BOOKLET - Ticaret

68

EXPERIMENT: 3.5 : EXPERIMENTAL PROCEDURE:

Connect the circuit as shown in the figure.

1- Apply power to the circuit. By using scope1, set the output of the function generator to sign with amplitude 1Vpp and frequency 1 KHz.

2- See the input and output signals on the oscilloscope at the same time. Does the

circuit operate as a half wave rectifier?

3- At which alternations of the input, there is a signal at the output? Why?

4- Set the amplitude of the input signal to 40mVpp. Is there any signal at the output? Do the normal diodes operate for that amplitude?

Page 88: ANALOG ELETRONİS LAORATORY BOOKLET - Ticaret

69

EXPERIMENT: 3.6

ANALYZING OPERATIONAL AMPLIFIER OPERATING AS FULL WAVE RECTIFIER

PREPARATION INFORMATION:

Figure 6.1

The full wave rectifier constructed by an operational amplifier is given in figure 6.1. The

part shown with dashed lines is same with the half wave rectifier. The operational amplifier

IC2 operates as a summing amplifier. Since the input signal is applied to the inverting input

of the operational amplifier, there is phase difference with a degree of 180 between the

input and output signals.

The output of the half wave rectifier is applied to the summing circuit (IC2) via

resistance R4. On the other hand the input signal is applied to the same input of the

summing circuit (IC2) via the resistance R3.

When the input is positive, the output of the half wave rectifier is zero. Only the signal

coming from the resistance R3 is applied to the input of the summing circuit. At that instant,

the output signal (Vo) is negative because the summing circuit is an inverting amplifier.

When the input signal is negative, the output of the half wave rectifier (Node A) is

positive and that positive signal is applied to the input of the summing circuit through the

resistance R4. On the other hand the input signal is applied to the same input of the

summing circuit (IC2) via the resistance R3. Summation of the input signals must be positive

in order to obtain a negative signal at the output of the summing circuit. This condition is

satisfied by selecting the resistances as R3=2R4.

Vo =-(2VA-Vi)

Since the gains of the operational amplifiers are 1;

VA=-VA=-Vi=-Vo

The output signal is composed of negative alternations for all the alternations of the

input signal. That means, the circuit operates as a full wave rectifier.

Page 89: ANALOG ELETRONİS LAORATORY BOOKLET - Ticaret

70

EXPERIMENT 3.6 : EXPERIMENTAL PROCEDURE:

Connect the circuit as shown in the figure.

1- Apply power to the circuit. By using scope1, set the output of the function generator to sine with amplitude 1Vpp and frequency 1 KHz.

2- See the input and output signals on the oscilloscope at the same time. Does the circuit operate as a full wave rectifier?

3- G Set the amplitude of the input signal to 40mVpp. Is there any signal at the output? Do the normal diodes operate for that amplitude?

Page 90: ANALOG ELETRONİS LAORATORY BOOKLET - Ticaret

71

LOW PASS, HIGH PASS FILTERS

The circuits that process the electrical signals according to their frequency content are

called filters. The filters are classified in four groups.

1- Low pass filter: They are the filters that allow the signals below a frequency to pass and over that frequency to stop.

2- High pass filter: They are the filters that allow the signals over a frequency to pass and below that frequency to stop.

3- Band pass filter: They are the filters that allow the signals between a frequency range to pass and out of that frequency range to stop.

4- Band stop filter: They are the filters that make the signals between a frequency range to stop and out of that frequency range to pass. In order to select a frequency band, more than one filter can be connected one after the

other. By that way, more sensitive filters can be obtained. The number of filters connected

one after another determines the degree of the filter. The quality of the filter increases with

the increasing degree.

Filtered are analyzed in two groups according to the type of elements used.

Passive filters: They are the filters constructed by inductors or capacitors. The operation of that type of filters depends on the fact that the reactance of inductors and capacitors change with the frequency. As we know;

XL= 2. .f.l

XC= fc21

Passive filters attenuate the signal even the frequency is in the pass band.

Active filters: They are the filters constructed by elements that have amplification property (transistors, operational amplifiers). The active filters choose the pass frequency band by using resistance and capacitors (without using inductors).

If we compare active and passive filters;

1- Passive filters do not need voltage supply. Active filters use voltage supply. 2- The frequency band of the passive filters is wide. They are used at high

frequencies. The frequency band of the active filters is narrow. So, they are not used at high frequencies.

3- The fabrication of the active filters is simple and cheap because they do not need inductor.

4- The active filters can amplify signals in the frequency band. Passive filters attenuate these signals a little bit.

5- The input impedance of the active filter is high. So, they do not affect the signal source.

Page 91: ANALOG ELETRONİS LAORATORY BOOKLET - Ticaret

72

EXPERIMENT: 3.7

ANALYZING OPERATIONAL AMPLIFIER OPERATING AS LOW PASS ACTIVE FILTER

PREPARATION INFORMATION:

Figure 7.1

A second degree low pass active filter constructed by operational amplifier is given in

figure 7.1. The first filter operation is performed by R2-C1 and the second filter operation is

performed by R3-C2. The operational amplifier operates as a non-inverting amplifier. Since

the gain of the non-inverting amplifier is greater than 1, the input signal is not attenuated.

For low pass active filters, the gain of the circuit must be 1,58 in order to have linear

frequency characteristic in the pass band.

The gain of the inverting amplifier is;

A= 1+1RRF .

1RRF = 0,58.

In our experiment set the resistances are selected as RF=5,6K and R1=10K. So, the

ratio is 0,56.

The frequency at which the gain of the circuit is 1 is called cut-off frequency. If we

show the cut-off frequency by (fc);

fc=2.3.1.2

1

CRCR

In the applications the elements are selected as R1=R2 and C1=C2. So, the cut-off

frequency is;

Page 92: ANALOG ELETRONİS LAORATORY BOOKLET - Ticaret

73

fc=2.2.2

1

CR

The gain of the low pass active filter is 1,58 for frequencies smaller than the cut-off

frequency. The gain decreases with the increasing frequency over the cut-off frequency.

EXPERIMENT 3.7 : EXPERIMENTAL PROCEDURE:

Connect the circuit as shown in the figure.

1- Apply power to the circuit. By using scope1, set the output of the function

generator to sign with amplitude 1Vpp and with frequency given in table 1 for each step.

Take note of the output signal amplitude in each step. Calculate the voltage gain in each

step.

Page 93: ANALOG ELETRONİS LAORATORY BOOKLET - Ticaret

74

Table 1

Vi=1Vpp

f(Hz) Vo(Volt) A

100

200

500

1000

1200

1400

1600

1800

2000

5000

10000

2- Calculate the cut-off frequency if R2=R3=1K and C1=C2=100nf.

3- Is the calculated cut-off frequency close to the experimental results?

4- Plot the frequency-gain graph according to the values in table 1.

Page 94: ANALOG ELETRONİS LAORATORY BOOKLET - Ticaret

75

Figure 7.2

5- Calculate the frequency band of the circuit.

Page 95: ANALOG ELETRONİS LAORATORY BOOKLET - Ticaret

76

EXPERIMENT: 3.8

ANALYZING OPERATIONAL AMPLIFIER OPERATING AS HIGH PASS ACTIVE

FILTER

PREPARATION INFORMATION:

Figure 8.1

A second degree high pass active filter constructed by operational amplifier is given in

figure 8.1. As it is seen, the capacitors and the resistors in the low pass active filter are

exchanged. The first filter operation is performed by C1-R2 and the second filter operation is

performed by C2-R3. The operational amplifier operates as a non-inverting amplifier. Since

the gain of the non-inverting amplifier is greater than 1, the input signal is not attenuated.

For high pass active filters, in order to have linear frequency characteristic in the pass

band, the resistances should be selected in a way that 1R

RF= 0,58 (to have a gain A=1+

1R

RF

=1,58).

In our experiment set the resistances are selected as RF=5,6K and R1=10K. So, the

ratio is 0,56.

The frequency at which the gain of the circuit is 1 is called cut-off frequency. If we

show the cut-off frequency by (fc);

Fc= 3.2.2.12

1

RCRC

In the applications the elements are selected as R1=R2 and C1=C2. So, the cut-off

frequency is;

Fc= 2.1.2

1

RC

The gain of the high pass active filter is 1,58 for frequencies greater than the cut-off

frequency. The gain decreases with the decreasing frequency under the cut-off frequency.

Page 96: ANALOG ELETRONİS LAORATORY BOOKLET - Ticaret

77

EXPERIMENT: 3.8 : EXPERIMENTAL PROCEDURE:

Connect the circuit as shown in the figure.

1- Calculate the cut-off frequency if R2=R3=1K and C1=C2=100nf.

2- Apply power to the circuit. By using scope1, set the output of the function generator to sign with amplitude 1Vpp and with frequency given in table 1 for each step. Take note of the output signal amplitude in each step. Calculate the voltage gain in each step.

Page 97: ANALOG ELETRONİS LAORATORY BOOKLET - Ticaret

78

Table 1

Vi=1Vpp

f(Hz) Vo(Volt) A

100

200

500

1000

1200

1400

1600

1800

2000

3000

4000

5000

10000

3- Is the calculated cut-off frequency close to the experimental results?

4- Plot the frequency-gain graph according to the values in table 1.

6- Calculate the frequency band of the circuit.

Page 98: ANALOG ELETRONİS LAORATORY BOOKLET - Ticaret

79

EXPERIMENT: 3.9

ANALYZING OPERATIONAL AMPLIFIER OPERATING AS PEAK DETECTOR

PREPARATION INFORMATION:

Figure 9.1

The peak detector constructed by operational amplifier is given in figure 9.1. Both of

the operational amplifiers operate as voltage followers. The property of the voltage follower

circuit is that the input impedance is high and the output impedance is low.

The operation of the circuit depends on the charge and discharge of the capacitor C.

Normally the output voltage is equal to the input voltage. If an pulse is applied to the input

of the operational IC1, the same signal appears at the output. That signal charges up the

capacitor C through the diode D1. The charge voltage is applied to the input of the

operational amplifier IC2. That signal is transferred to the output.

The signal remains at the output until the capacitor C discharges. In order the

discharge time to be longer, the capacitor “C” should be isolated from the other circuit

elements. The diode D1 and the resistance R4 are used for that purpose.

Page 99: ANALOG ELETRONİS LAORATORY BOOKLET - Ticaret

80

EXPERIMENT: 3.9 : EXPERIMENTAL PROCEDURE:

Connect the circuit as shown in the figure.

1- Apply power to the circuit. Take note of the input (VM1) and output (VM2) voltage values.

2- Press the button B1 and depress it after a while. Read the input and output voltage values. Explain the reason.

3- Observe the output voltage (VM2)? Is there any change? Why?

4- Press the button B2. Explain what happens.

Page 100: ANALOG ELETRONİS LAORATORY BOOKLET - Ticaret

81

Experiment 7:

4.1 Analyzing Astable Multivibrators Constructed By Operational Amplifiers 4.2 Analyzing Monostable Multivibrators Constructed By Operational Amplifiers 4.3 Analyzing Bistable Multivibrators Constructed By Operational Amplifiers 4.4 Analyzing Symmetric Square Wave Generator Constructed By Operational Amplifier 4.5 Analyzing Symmetric Square Wave Generator Constructed By 555 Ic 4.6 Analyzing Pulse Width Modulation Mode (Asymmetric) Square Wave Generator Constructed By Op-Amp 4.7 Analyzing Asymmetric Square Wave Generator Constructed By 555 Ic 4.8 Analyzing Triangular, Sinusoidal And Square Wave Generator Constructed By Xr 2206 Ic

EXPERIMENT MODULE: Y-0014 / 04

Page 101: ANALOG ELETRONİS LAORATORY BOOKLET - Ticaret

82

MULTIVIBRATORS

The most important element of digital timer circuits is the multivibrator. So, it is

necessary to understand the multivibrator types and their operation principles well.

Multivibrators are divided into two groups;

1- Astable Multivibrators 2- Stable Multivibrators

The stable multivibrators have two types.

a- Monostable Multivibrators b- Bistable Multivibrators

Page 102: ANALOG ELETRONİS LAORATORY BOOKLET - Ticaret

83

EXPERIMENT: 4.1

ANALYZING ASTABLE MULTIVIBRATORS CONSTRUCTED BY OPERATIONAL

AMPLIFIERS

PREPARATION INFORMATION:

Astable multivibrators are the oscillator circuits that generate square wave when

energy is applied. The astable multivibrator circuit is given in figure 1.1.

Figure 1.1

Operational amplifiers operate as comparators in the circuit. Let’s assume that the

voltage at the non-inverting input is greater than the voltage at the inverting input

(Vi3>Vi2), when energy is applied to the circuit. At that case, the output voltage will be

close to the positive supply voltage (+V). At that instant the voltage Vi3 will mathematically

be;

Vo=+V=VR1+VR2

Vi3=VR1.

Since the voltage at the output is positive, the voltage “VR3” is also positive. At the

same time the capacitance C connected between the inverting input of the operational

amplifier and ground is charged up through the resistance R. When the charge voltage of

the capacitor (Vi2) exceeds the voltage at the non-inverting input (Vi3), the operational

amplifier changes state. The output voltage becomes close to the negative supply voltage (-

V). At that time, the voltage at the non-inverting input of the operational amplifier also

becomes negative.

Similarly, the negative voltage is applied to the upper terminal of the capacitor “C”.

The capacitor discharges first then it starts to charge up to a negative voltage.

Page 103: ANALOG ELETRONİS LAORATORY BOOKLET - Ticaret

84

When the charge voltage of the capacitor (Vi2), becomes greater than the non-

inverting input voltage (Vi3) in the negative direction, the operational amplifier changes

state again. At that time, the circuit returns its initial state. The process repeats itself.

The signal at the output of the operational amplifier is a square wave with an

amplitude “±V”. The period of the signal is expressed as;

K

KLnCRT

1

1...2

Where;

T = Period (Sec)

R = Resistance (Ohm)

C = Capacitance (Farad)

Ln = Natural Logarithm

.21

1

RR

RK

The frequency of the output signal is;

Tf

1

If the resistance “R” is chosen as a potentiometer, the frequency of the output signal

can be adjusted.

Page 104: ANALOG ELETRONİS LAORATORY BOOKLET - Ticaret

85

EXPERIMENT: 4.1: EXPERIMENTAL PROCEDURE:

Connect the circuit as shown in the figure.

1- Set the potentiometer to its maximum resistance position (the middle pin is down). Apply power to the circuit. Write down the behavior of the LED at the output.

2- Set the potentiometer to its minimum resistance position (the middle pin is up). Write down the behavior of the LED at the output. If there is any change, explain the reason.

Page 105: ANALOG ELETRONİS LAORATORY BOOKLET - Ticaret

86

3- Calculate the down and upper limit for the frequency of the output signal.

Page 106: ANALOG ELETRONİS LAORATORY BOOKLET - Ticaret

87

4- Observe the output signal on the oscilloscope screen. Measure its amplitude and frequency. Compare the frequency with the calculation result.

Page 107: ANALOG ELETRONİS LAORATORY BOOKLET - Ticaret

88

EXPERIMENT: 4.2

ANALYZING MONOSTABLE MULTIVIBRATORS CONSTRUCTED BY OPERATIONAL

AMPLIFIERS

PREPARATION INFORMATION:

Monostable multivibrators are the circuits that change state with a narrow pulse applied

to the input and return to the initial state after a while. The circuit of the monostable

multivibrator is given in figure 2.1.

Figure 2.1

The operational amplifier operates as a converter in the circuit. When energy is applied

to the circuit, the inverting input becomes positive through the resistance “R1”. So, the

output voltage is close to the negative supply voltage. The capacitor “C” connected between

the output and the ground via the resistance “R” charges up according to that polarization.

The voltage at the upper terminal of the capacitor “C” is close to the negative supply voltage

and the voltage at the lower terminal of it is a smaller negative voltage. The value of that

smaller negative voltage depends on the resistance “R”. That voltage is also the non-

inverting input voltage.

When the button is pressed, the negative supply voltage is applied to the inverting

input through the diode D1. At that instant the voltage at the inverting input becomes more

negative than the voltage at the non-inverting input. So, the output voltage becomes close

to the positive supply voltage. The polarization of the capacitor “C” is reversed with respect

to the previous state. The capacitor first discharges then it charges up according to the new

polarization. The output signal becomes negative when voltage at the lower terminal of the

capacitor “C” is smaller than the voltage at the inverting input. The pulse obtained at the

output is a square wave. The pulse duration can be adjusted by using a potentiometer

instead of the resistance “R”. The duration of the output pulse is t=R.C. The units of the

variables in the equation are the main units.

Page 108: ANALOG ELETRONİS LAORATORY BOOKLET - Ticaret

89

EXPERIMENT: 4.2

EXPERIMENTAL PROCEDURE:

Connect the circuit as shown in the figure.

1- Set the potentiometer to its maximum resistance position (the middle pin is up).

Apply power to the circuit. Wait for 5 seconds. What is the state of the LED at the output?

2- Set the oscilloscope to DC mode. Read the voltage at the output.

3- Press the button and depress it after a while. Write down the behavior of the LED and the change in the output signal.

4- Set the potentiometer to its mid-position. Press the button and depress it after a while. Write down the change in the behavior of the Led.

Page 109: ANALOG ELETRONİS LAORATORY BOOKLET - Ticaret

90

EXPERIMENT: 4.3

ANALYZING BISTABLE MULTIVIBRATORS CONSTRUCTED BY OPERATIONAL

AMPLIFIERS

PREPARATION INFORMATION:

Bistable multivibrator is the circuit that changes state with two different pulses and

reserves that state. The bistable multivibrator is seen in figure 3.1.

Figure 3.1

The operational amplifier operates as a comparator in the circuit again. When the

energy is first applied to the circuit, the circuit is astable. The voltage at the output is close

to either the positive supply voltage or the negative supply voltage. After that instant, the

output voltage is controlled by the negative or positive pulse applied to the non-inverting

input. The voltage divider resistances “R2” and “R3” are chosen in a way that the voltage

at non-inverting input is close to the ground voltage (R2>>R3).

If the button “B1” is pressed and after a while it is depressed, the positive supply

voltage is applied to the inverting input for a while. At that instant the output voltage

becomes close to the negative supply voltage. The multivibrator reserves that state until the

button “B2” is pressed and negative supply voltage is applied to the non-inverting input.

If the button “B2” is pressed and after a while it is depressed, the negative supply

voltage is applied to the inverting input for a while. At that instant the output voltage

becomes close to the positive supply voltage. For this case, the multivibrator reserves that

state until the button “B1” is pressed and positive supply voltage is applied to the non-

inverting input.

Page 110: ANALOG ELETRONİS LAORATORY BOOKLET - Ticaret

91

EXPERIMENT: 4.3

EXPERIMENTAL PROCEDURE:

Connect the circuit as shown in the figure.

1- Apply power to the circuit. Write down the states of the LEDs connected to the

output.

2- Press the button “B2” and depress it after a while. Write down the states of the LEDs connected to the output. Measure the output voltage at that instant.

3- Press the button “B2” and depress it after a while. Write down the states of the LEDs connected to the output. Take note of the output voltage at that instant.

Page 111: ANALOG ELETRONİS LAORATORY BOOKLET - Ticaret

92

EXPERIMENT: 4.4

ANALYZING SYMMETRIC SQUARE WAVE GENERATOR CONSTRUCTED BY

OPERATIONAL AMPLIFIER

PREPARATION INFORMATION:

Figure 4.1

The symmetric square wave generator constructed by operational amplifier is shown in figure

4.1. The supply voltage of the circuit must be symmetric. The circuit is an astable multivibrator circuit.

The positive alternations duration of the output signal is equal to the negative alternations duration.

These kinds of signals are called symmetric signals. Symmetric square wave signal is shown in figure

4.2.

Figure 4.2

The operational amplifier operates as a comparator. Let’s assume that the voltage at the non-

inverting input of the operational amplifier is greater than the voltage at the inverting input of the

operational amplifier initially. So, the output signal will be positive. The amplitude of the output signal

will be 1Vless than the supply voltage.

The capacitor “C” charges up slowly. That causes the voltage at the inverting input of the

operational amplifier to increase. The output voltage turns out to be negative when the voltage at the

inverting input exceeds the voltage at the non-inverting input. The amplitude of the output signal is

again 1V less than the supply voltage.

When the output signal turns out to be negative, the polarization of the capacitor “C” reverses

and it discharges. So the circuit takes its initial position. That process repeats itself. The signal

obtained at the output is a symmetric square wave.

The frequency of the circuit is determined by the capacitor “C”, the resistance R1 and the

potentiometer P which are on the discharge path of the capacitor “C”. A square wave with any

desired frequency can be obtained by adjusting the potentiometer P.

Page 112: ANALOG ELETRONİS LAORATORY BOOKLET - Ticaret

93

EXPERIMENT: 4.4

EXPERIMENTAL PROCEDURE:

Connect the circuit as shown in the figure.

1- Apply power to the circuit. Define the output waveform.

2- Vary the potentiometer P. Find the minimum and maximum frequency values.

3- Measure the amplitude of the output signal. Why is that value obtained?

Page 113: ANALOG ELETRONİS LAORATORY BOOKLET - Ticaret

94

EXPERIMENT: 4.5

ANALYZING SYMMETRIC SQUARE WAVE GENERATOR CONSTRUCTED BY 555 IC

PREPARATION INFORMATION:

The 555 is an oscillator and timer integrated circuit that is widely used today. Some

properties of 555 IC are;

Being able to operate as stable, astable and monostable multivibrator , Being able to operate as adjustable oscillator, Timing capacity from micro seconds to hours, Giving outputs compatible to TTL, Being able to output current up to 200mA Operating at voltages between 5V and 15V.

Figure 5.1

The pin diagram of the 555 IC is shown in figure 5.1. The output signal of the 555 IC

is a square wave. The symmetric square wave generator constructed by 555 IC is given in

figure 5.2.

Figure 5.2

As it is seen in the figure 5.2, just a few peripheral elements are used in the

symmetric square wave generator constructed by 555 IC.

The period of the square wave is; T= 0,693(R1+2RT) C.

The frequency is calculated by; f= CRTRt )21(

44,11

Page 114: ANALOG ELETRONİS LAORATORY BOOKLET - Ticaret

95

EXPERIMENT: 4.5

EXPERIMENTAL PROCEDURE:

Connect the circuit as shown in the figure.

1- Apply power to the circuit. Set the potentiometer to its maximum resistance position (middle pin is up). Measure the amplitude and the frequency of the signal on the oscilloscope screen.

2- Vary the position of the potentiometer slowly. At which frequency the output waveform is deformed.

Page 115: ANALOG ELETRONİS LAORATORY BOOKLET - Ticaret

96

3- Set the potentiometer to its minimum resistance position (middle pin is down). Measure the frequency for that case.

4- Calculate the minimum and maximum frequencies mathematically. Compare with the experimental results.

Page 116: ANALOG ELETRONİS LAORATORY BOOKLET - Ticaret

97

EXPERIMENT: 4.6

ANALYZING PULSE WIDTH MODULATION MODE (ASYMMETRIC) SQUARE WAVE

GENERATOR CONSTRUCTED BY OPERATIONAL AMPLIFIER

PREPARATION INFORMATION:

In some cases asymmetric (different negative and positive signal durations) square

waves may be desired. Circuits with high currents can be controlled by setting the positive

time duration to zero or a small value compared to negative time duration.

Figure 6.1

The asymmetric square wave generator constructed by operational amplifier is shown

in figure 6.1. The operational amplifier operates as a comparator. The output signal is

positive if the voltage at the non-inverting input is greater than the voltage at the inverting

input. During that time, the capacitor “C” connected to the inverting input charges up

through the diodes D1-D2 and potentiometers P1 and P2. When the charge voltage exceeds

the voltage at the non-inverting input, the output signal changes and turns out to be

negative. That situation continues until the capacitor is discharged so that the voltage at the

inverting input is lower than the voltage at the non-inverting input.

If the voltage at the non-inverting input remains greater than the voltage at the

inverting input, the output voltage remains positive. That is the initial condition. That process

repeats itself. A square wave is obtained at the output.

The width of the negative voltage is controlled by the diode D1 and potentiometer P1

in the negative feedback network. The width of the positive voltage is controlled by the

diode D2 and potentiometer P2. Different charge and discharge times are obtained by

varying the potentiometers P1 and P2. So the desired asymmetric square wave is obtained at

the output. If both of the potentiometers are set to their middle position, a symmetric square

wave is obtained at the output.

Page 117: ANALOG ELETRONİS LAORATORY BOOKLET - Ticaret

98

EXPERIMENT: 4.6

EXPERIMENTAL PROCEDURE:

Connect the circuit as shown in the figure.

1- Apply power to the circuit. Measure the amplitude of the output signal. Why is that value obtained?

2- Set the potentiometers P1 and P2 to their middle positions. Define the output waveform.

3- Set the potentiometer P1 to zero (middle pin is down). What happened to the output signal? Why?

Page 118: ANALOG ELETRONİS LAORATORY BOOKLET - Ticaret

99

4- Set the potentiometer P1 to middle position. At that time set the potentiometer P2 to zero (middle pin is down). What happened to the output signal? Why?

5- Set the potentiometers to maximum (middle pin is up) position one by one. Define the output waveform.

Page 119: ANALOG ELETRONİS LAORATORY BOOKLET - Ticaret

100

EXPERIMENT: 4.7

ANALYZING ASYMMETRIC SQUARE WAVE GENERATOR CONSTRUCTED BY 555 IC

PREPARATION INFORMATION:

Figure 7.1

The asymmetric square wave generator made up of 555 IC is seen in Figure 7.1. The

number of circuit elements is small as the 555 IC is programmed as square wave generator

and timer. The output current of the 555 IC is too big as 200mA. Many relays and lamps

used in electronics can directly be controlled by using 555 IC.

Figure 7.2

The output is seen in Figure 7.2 when the potentiometer is so adjusted that the mid-

point is above (A point) and below (B point). There is current on the load in small time

intervals when the mid-point of the potentiometer is at point A as seen in Figure 7.2A. The

time length of the current that flows through the load is maximum when the mid-point of the

potentiometer is at point B as seen in Figure 7.2B.

A DC motor or a lamp can ideally be controlled with a circuit like that.

Page 120: ANALOG ELETRONİS LAORATORY BOOKLET - Ticaret

101

EXPERIMENT: 4.7

EXPERIMENTAL PROCEDURE:

Make the circuit connections as in the figure.

1- Set the potentiometer to the mid-value. Apply power to the circuit. Define the output signal. Measure the amplitude of the output signal?

2- If we connect a lamp to the output pins and set the mid-point of the potentiometer to above point, how does the lamp emit light?

3- If we connect a lamp to the output pins and set the mid-point of the potentiometer to below point, how does the lamp emit light?

Page 121: ANALOG ELETRONİS LAORATORY BOOKLET - Ticaret

102

EXPERIMENT: 4.8

ANALYZING TRIANGULAR, SINE AND SQUARE WAVE GENERATOR CONSTRUCTED

BY XR2206 IC

PREPARATION INFORMATION:

The XR2206 IC the circuit designed as a voltage controlled oscillator (VCO) that can

generate triangular, sine and square waves. They can operate under 10V-26V supply

voltage. The output amplitude changes directly proportional with the supply voltage. They

operate stable under 0.001Hz and 1MHz frequency band.

Figure 8.1

The pin specifications of the XR2206 IC are seen in Figure 8.1 and its usage as sine,

triangular and square wave generator is seen in Figure 8.2. As seen, the number of circuit

elements is small. The R1+R4 resistors and C2 capacitor determine the frequency band and

the C2 capacitor is used more than once. A generator having gradual frequency output is

obtained if C2 is used with a commutator. The frequency of the output signal;

F=1/(R1+R4).C1.

Figure 8.2

Page 122: ANALOG ELETRONİS LAORATORY BOOKLET - Ticaret

103

EXPERIMENT: 4.8

EXPERIMENTAL PROCEDURE:

Make the circuit connections as in the figure.

1- Set the oscilloscope to AC. Set the sine/triangular select pin to sine state (switch pin is down). At this moment, the switch is closed. Apply the power to the circuit. Define the waveform in the oscilloscope?

2- Adjust the P1, P2 and P3 potentiometers at any frequency value. Obtain a distortion free sine wave in the oscilloscope. Measure the peak to peak voltage value (Vpp) of the sine wave?

3- This time, set the sine/triangular select pin to triangular state (switch pin is up). This time the switch becomes open. Adjust the P1 and P3 potentiometer. Obtain a distortion free triangular wave in the oscilloscope. Determine the peak to peak voltage value (Vpp) of the triangular wave?

Page 123: ANALOG ELETRONİS LAORATORY BOOKLET - Ticaret

104

4- Set the oscilloscope to DC. Connect the positive terminal of the oscilloscope to the square wave output socket as seen in the figure below. Define the shape in the figure?

5- Determine the amplitude of the square wave?

6- Set the P4 potentiometer to minimum and maximum. Measure the frequency band of the circuit?

The output signal frequency is changing between 1 KHz-10 KHz. NOTICE: Trimpots are used in the symmetry and sine amplitude

potentiometer application. These trimpots are adjusted once and left. There will be no access to the trimpots from the out of the device.

Page 124: ANALOG ELETRONİS LAORATORY BOOKLET - Ticaret

105

Experiment 8:

10.1 Examination Of Class A Amplifier 10.2 Examination Of Class B Amplifier 10.3 Examination Of Class C Amplifier

Page 125: ANALOG ELETRONİS LAORATORY BOOKLET - Ticaret

106

Experiment 9:

14.1 Examination Of Rc Phase Shift Oscillators 14.2 Examination Of Lc Oscillators 14.3 Examination Of Parallel Hartley Oscillators 14.4 Examination Of Colpitts Oscillators 14.5 Examination Of Crystal Oscillators 14.6 Examination Of Wien Oscillators

Page 126: ANALOG ELETRONİS LAORATORY BOOKLET - Ticaret

107

Experiment 10:

Page 127: ANALOG ELETRONİS LAORATORY BOOKLET - Ticaret

108

Experiment 11:

Page 128: ANALOG ELETRONİS LAORATORY BOOKLET - Ticaret

109

Experiment 12: