analog and digital electronics laboratory department … · ade lab - 17csl37 2018-2019 dept. of...

59
Channabasaveshwara Institute of Technology (Affiliated to VTU, Belgaum & Approved by AICTE, New Delhi) (NAAC Accredited & ISO 9001:2015 Certified Institution) NH 206 (B.H. Road), Gubbi, Tumkur 572 216. Karnataka. ANALOG AND DIGITAL ELECTRONICS LABORATORY Department of Information Science and Engineering 17CSL37 / VISVESVARAYA TECHNOLOGICAL UNIVERSITY BELAGAVI

Upload: others

Post on 16-Mar-2020

2 views

Category:

Documents


0 download

TRANSCRIPT

Channabasaveshwara Institute of Technology (Affiliated to VTU, Belgaum & Approved by AICTE, New Delhi)

(NAAC Accredited & ISO 9001:2015 Certified Institution)

NH 206 (B.H. Road), Gubbi, Tumkur – 572 216. Karnataka.

ANALOG AND DIGITAL ELECTRONICS LABORATORY

Department of Information Science and Engineering

17CSL37

/

VISVESVARAYA TECHNOLOGICAL UNIVERSITY

BELAGAVI

Channabasaveshwara Institute of Technology (Affiliated to VTU, Belgaum & Approved by AICTE, New Delhi)

(NAAC Accredited & ISO 9001:2015 Certified Institution)

NH 206 (B.H. Road), Gubbi, Tumkur – 572 216. Karnataka.

LABORATORY SESSION-1:

INTRODUCTION TO ANALOG ELECTRONIC COMPONENTS AND MULTI SIM.

Analog Electronics is one of the fundamental courses found in all Electrical Engineering and most

science programs. Analog circuit‘s process signals with continuous variation of voltage.

The different Components that are normally used in Analog Electronics are:

1. Bi polar Junction Transistors

2. MOSFET‘s

3. OP-AMP

A transistor is a semiconductor device used to amplify or switch electronic signals and electrical

power. The word Transistor is an acronym, and is a combination of the words Transfer Varistor used

to describe their mode of operation way back in their early days of development. It is composed of

semiconductor material usually with at least three terminals known and labeled as the Emitter ( E ),

the Base ( B ) and the Collector ( C ) respectively. for connection to an external circuit. There are two

types of standard transistors, NPN and PNP, with different circuit symbols. The letters refer to the

layers of semiconductor material used to make the transistor. Most transistors used today are NPN

because this is the easiest type to make from silicon.

Transistors are three terminal active devices made from different semiconductor materials that can act

as either an insulator or a conductor by the application of a small signal voltage. The transistor‘s

ability to change between these two states enables it to have two basic functions: ―switching‖ (digital

electronics) or ―amplification‖ (analogue electronics).

The two most common types of transistors are:

• Field-Effect Transistors (FETs): voltage-controlled current flow.

• Bipolar Junction Transistors (BJTs): current-controlled current flow.

ADE LAB - 17CSL37 2018-2019

Dept. of ISE, C.I.T., Gubbi 2

FET (Field Effect Transistors) can be classified as JFT and MOSFET. MOSFETs differ from BJTs in

that BJTs require that a current be applied to the base pin in order for current to flow between the

collector and emitter pins. On the other hand, MOSFETs only require a voltage at the gate pin to

allow current flow between the drain and source pins.

Operational Amplifiers are the heart and soul of all modern electronic instruments. Their flexibility,

stability and ability to execute many functions make op-amps the ideal choice for analog circuits.

Historically, op-amps evolved from the field of analog computation where circuits were designed to

add, subtract, multiply, integrate, and differentiate etc. in order to solve differential equations found in

many engineering applications. Today analog computers op-amps are found in countless electronic

circuits and instruments.

Operational Amplifiers (OAs) are highly stable, high gain dc difference amplifiers. Since there is no

capacitive coupling between their various amplifying stages, they can handle signals from zero

frequency (dc signals) up to a few hundred kHz. Their name is derived by the fact that they are used

for performing mathematical operations on their input signal(s). Figure 1 shows the symbol for an

OA. There are two inputs, the inverting input (-) and the noninverting input (+). These symbols have

nothing to do with the polarity of the applied input signals.

Figure 1. Symbol of the operational amplifier.

Connections to power supplies are also shown. The output signal (voltage), vo, is given by: vo = A

(v+ - v-)

The Major Equipment that will be used in Analog Electronics lab is

1. CRO : Cathode Ray Oscilloscope

2. ASG: Amplitude Signal Generator

An oscilloscope, previously called an oscillograph, and informally known as a scope, CRO (for

cathoderay oscilloscope), or DSO (for the more modern digital storage oscilloscope), is a type of

electronic test instrument that allows observation of constantly varying signal voltages. Actually

ADE LAB - 17CSL37 2018-2019

Dept. of ISE, C.I.T., Gubbi 3

cathode ray oscilloscope is very fast X-Y plotters that can display an input signal versus time or other

telecommunications industry. General-purpose instruments are used for maintenance of electronic

equipment and laboratory work. Special-purpose oscilloscopes may be used for such purposes as

analyzing an automotive ignition system or to display the waveform of the heartbeat as an

electrocardiogram.

Description: The basic oscilloscope, as shown in the illustration, is typically divided into four

sections: the display, vertical controls, horizontal controls and trigger controls. The display is usually

a CRT or LCD panel which is laid out with both horizontal and vertical reference lines referred to as

the graticule. In addition to the screen, most display sections are equipped with three basic controls: a

focus knob, an intensity knob and a beam finder button. The vertical section controls the amplitude of

the displayed signal. This section carries a Volts-perDivision (Volts/Div) selector knob, an

AC/DC/Ground selector switch and the vertical (primary) input for the instrument. Additionally, this

section is typically equipped with the vertical beam position knob. The horizontal section controls the

time base or "sweep" of the instrument. The primary control is the Seconds-per-Division (Sec/Div)

selector switch. Also included is a horizontal input for plotting dual X-Y axis signals. The horizontal

beam position knob is generally located in this section.

2. ASG: Amplitude Signal Generator

A function generator is usually a piece of electronic test equipment or software used to generate

different types of electrical waveforms over a wide range of frequencies. Some of the most common

waveforms produced by the function generator are the sine, square, triangular and saw tooth shapes.

These waveforms can be either repetitive or single-shot. Function generators are used in the

development, test and repair of electronic equipment. For example, they may be used as a signal

source to test amplifiers or to introduce an error signal into a control loop.

ADE LAB - 17CSL37 2018-2019

Dept. of ISE, C.I.T., Gubbi 4

Function generator basics:

Function generators, whether the old analog type or the newer digital type, have a few common

features:

• A way to select a waveform type: sine, square, and triangle are most common, but some will give

ramps, pulses, ―noise‖, or allow you to program a particular arbitrary shape.

• A way to select the waveform frequency. Typical frequency ranges are from 0.01 Hz to 10 MHz.

• A way to select the waveform amplitude.

• At least two outputs.

The ―main‖ output, which is where you find the desired waveform, typically has a maximum voltage

of 20 volts peak-to-peak, or ±10 volts range. The most common output impedance of the main output

is 50 ohms, although lower output impedances can sometimes be found. A second output, sometimes

called ―sync‖, ―aux‖ or ―TTL‖ produces a square wave with standard 0 and 5 volt digital signal levels.

It is used for synchronizing another device (such as an oscilloscope) to the possibly variable main

output signal. A wide variety of other features are available on most modern function generators, such

as ―frequency sweep‖—the ability to automatically vary the frequency between a minimum and

maximum value, ―DC offset‖—a knob that adds a specified amount of DC voltage to the time-varying

1 waveform, and extra inputs or outputs that can be used to control these extra features by other

instruments.

ADE LAB - 17CSL37 2018-2019

Dept. of ISE, C.I.T., Gubbi 5

INTRODUCTION TO MULTISIM

NI Multisim (formerly MultiSIM) is an electronic schematic capture and simulation program

which is part of a suite of circuit design programs, along with NI Ultiboard. Multisim is one of the

few circuit design programs to employ the original Berkeley SPICE based software simulation.

Multisim was originally created by a company named Electronics Workbench, which is now a

division of National Instruments. Multisim includes microcontroller simulation (formerly known as

MultiMCU), as well as integrated import and export features to the Printed Circuit Board layout

software in the suite, NI Ultiboard.

Multisim is widely used in academia and industry for circuits‘ education, electronic schematic

design and SPICE simulation.

Steps to Proceed:

Step 1: Open Multisim

Step 2: Place Components

Step 3: Wire Components

Step 4: Place a Simulation Source

Step 5: Place Measurement Instruments

Step 6: Run a Simulation

Dept. of ISE, C.I.T., Gubbi 6

ADE LAB - 15CSL37 2017-2018

LABORATORY SESSION-2.

LOGIC DESIGN COMPONENTS

Circuit that takes the logical decision and the process are called logic gates. Each gate has one or more

input and only one output.

OR, AND and NOT are basic gates.

NAND, NOR are known as universal gates.

Basic gates form these gates.

AND GATE: The AND gate performs a logical multiplication commonly known as AND function.

The output is high when both the inputs are high. The output is low level when any one of the inputs

is low.

OR GATE: The OR gate performs a logical addition commonly known as OR function. The output is

high when any one of the inputs is high. The output is low level when both the inputs are low.

NOT GATE: The NOT gate is called an inverter. The output is high when the input is low. The

output is low when the input is high.

NAND GATE: The NAND gate is a contraction of AND-NOT. The output is high when both inputs

are low and any one of the input is low .The output is low level when both inputs are high.

NOR GATE: The NOR gate is a contraction of OR-NOT. The output is high when both inputs are

low. The output is low when one or both inputs are high.

X- OR GATE: The output is high when any one of the inputs is high. The output is low when both

the inputs are low and both the inputs are high.

PROCEDURE:

Connections are given as per circuit diagram.

Logical inputs are given as per circuit diagram.

Observe the output and verify the truth table.

Dept. of ISE, C.I.T., Gubbi 7

ADE LAB - 15CSL37 2017-2018

AND GATE:

Symbol Pin Diagram

OR GATE:

Symbol Pin Diagram

Dept. of ISE, C.I.T., Gubbi 8

ADE LAB - 15CSL37 2017-2018

NOT GATE:

Symbol Pin Diagram

X-OR GATE:

Symbol Pin Diagram

Dept. of ISE, C.I.T., Gubbi 9

ADE LAB - 15CSL37 2017-2018

2 INPUT NAND GATE:

Symbol Pin Diagram

3 INPUT NAND GATE:

Symbol Pin Diagram

Dept. of ISE, C.I.T., Gubbi 10

ADE LAB - 15CSL37 2017-2018

NOR GATE:

Symbol Pin Diagram

Dept. of ISE, C.I.T., Gubbi 11

ADE LAB - 15CSL37 2017-2018

INTRODUCTION TO XILINX

Xilinx ISE is a software tool produced by Xilinx for synthesis and analysis of HDL designs,

which enables the developer to synthesize ("compile") their designs, perform timing analysis,

examine RTL diagrams, simulate a design's reaction to different stimuli, and configure the target

device with the programmer.

ModelSim is a verification and simulation tool for VHDL, Verilog, System Verilog, and

mixed language designs.

INTRODUCTION TO VHDL

HDL (Hardware Description Language) based design has established itself as the modern

approach to design of digital systems, with VHDL (VHSIC Hardware Description Language) and

Verilog HDL being the two dominant HDLs. Numerous universities thus introduce their students to

VHDL (or Verilog). The problem is that VHDL is complex due to its generality. Introducing students

to the language first, and then showing them how to design digital systems with the language, tends to

confuse students. The language issues tend to distract them from the understanding of digital

components. And the synthesis subset issues of the language add to the confusion.

VHDL stands for VHSIC (Very High Speed Integrated Circuits) Hardware Description

Language. In the mid-1980‘s the U.S. Department of Defense and the IEEE sponsored the

development of this hardware description language with the goal to develop very high-speed

integrated circuit. It has become now one of industry‘s standard languages used to describe digital

systems. The other widely used hardware description language is Verilog. Both are powerful

languages that allow you to describe and simulate complex digital systems. A third HDL language is

ABEL (Advanced Boolean Equation Language) which was specifically designed for Programmable

Logic Devices (PLD). ABEL is less powerful than the other two languages and is less popular in

industry. This tutorial deals with VHDL, as described by the IEEE standard 1076-1993.

Although these languages look similar as conventional programming languages, there are

some important differences. A hardware description language is inherently parallel, i.e. commands,

which correspond to logic gates, are executed (computed) in parallel, as soon as a new input arrives.

A HDL program mimics the behavior of a physical, usually digital, system. It also allows

Dept. of ISE, C.I.T., Gubbi 12

ADE LAB - 15CSL37 2017-2018

incorporation of timing specifications (gate delays) as well as to describe a system as an

interconnection of different components.

Sample Programs:

1. Write the Verilog /VHDL code for a 2 Input AND gate. Simulate and verify its working.

Entity And1 is

Port (A, B: IN STD_LOGIC;

C: OUT STD_LOGIC);

End And1;

Architecture Behavioral of And1 is

Begin

C <= A AND B;

End Behavioral;

NOTE: write the VHDL code for remaining basic and universal gates. Simulate and realize the

output.

2. Write the Verilog /VHDL code for a half adder. Simulate and verify its working.

Entity Half_adder is

Port (A, B: IN STD_LOGIC;

SUM, CARRY: OUT STD_LOGIC);

End Half_adder;

Architecture Behavioral of Half_adder is

Begin

SUM <= A XOR B;

CARRY <= A AND B;

End Behavioral;

Dept. of ISE, C.I.T., Gubbi 13

ADE LAB - 15CSL37 2017-2018

Experiment No: 01 Date: _

SCHMITT TRIGGER

AIM:

a. Design and construct a Schmitt trigger using Op-Amp for given UTP and LTP values

and demonstrate its working.

b. Design and implement a Schmitt trigger using Op-Amp using a simulation package

for two sets of UTP and LTP values and demonstrate its working.

Apparatus:

Sl. No. Particulars Range Quantity

1. OP AMP 741 1

2. Resistors As per design 1

3. signal generator - 1

4. CRO with probes - 1 set

5. DC power supply - 1

6. Spring board and wires - 1 set

Procedure:

1. Check the components / Equipment for their working condition.

2. Connections are made as shown in the circuit diagram.

3. A sinusoidal input whose amplitude is greater than the magnitude of the UTP & LTP is

applied, a square wave output is obtained and observed on the CRO.

4. UTP & LTP points are noted.

5. To obtain transfer characteristics, input is applied to channel A and output to channel B.

6. UTP & LTP are measured on the transfer characteristics also and thus verified.

Note: The amplitude of the input voltage should be greater than the magnitude of UTP &

LTP level.

Dept. of ISE, C.I.T., Gubbi 14

ADE LAB - 15CSL37 2017-2018

Circuit Diagram (With reference): Circuit Diagram (Without reference):

With Reference: Wave forms: Transfer characteristics:

Without Reference: Wave forms: Transfer characteristics:

Dept. of ISE, C.I.T., Gubbi 15

ADE LAB - 15CSL37 2017-2018

2

2

Formulas to be used:

UTP=

VR R1 +V

R2

R1 +R2 sat R1 +R2

LTP= VR R1 - V

R2

R1 +R2 sat R1 +R2

Design : With Reference

Let given UTP = 6V, LTP= -2V. Assume Vsat = 12 V

UTP + LTP = 4 = 2 VR R1 V = 2 R1 +R2

R2

[eqn 1] R +R

R R

= 2 1+ R

1 2 1 1

V R UTP - LTP = 8 = 2 sat

R2 1 R = 2R

[eqn 2]

R1 +R2 R1 2

Assume R2 = 1K then R1 = 2 K.

Substitute R1 and R2 in eqn1 and calculate VR VR = 3V

Similarly design for UTP = +4, +8, 2 and –2; LTP = -4, +2, -4 and –4.

Design : With out Reference

Let given UTP =4V, LTP=-4V Assume Vsat = 12 V

UTP + LTP = 0 = 2

Eqn 3 = 0

VR R1

R1 +R2

[eqn 3]

V R UTP - LTP = 8 = 2 sat

R2 1 R = 2R

[eqn 4]

R1 +R2 R1 2

Assume R2 = 1K then R1 = 2 K

Similarly design for UTP = +4, +8, 2 and –2; LTP = -4, +2, -4 and –4.

1 2

1 2

Dept. of ISE, C.I.T., Gubbi 16

ADE LAB - 15CSL37 2017-2018

Results:

1. With Reference:

Sl. No

UTP(TH)

LTP(TH)

Hardware Software

UTP(Prac) LTP(Prac) UTP(Prac) LTP(Prac)

2. Without Reference:

Sl. No

UTP(TH)

LTP(TH)

Hardware Software

UTP(Prac) LTP(Prac) UTP(Prac) LTP(Prac)

Dept. of ISE, C.I.T., Gubbi 17

ADE LAB - 15CSL37 2017-2018

Experiment No: 02 Date: _

OP AMP RELAXATION OSCILLATOR

AIM:

a. Design and construct a rectangular waveform generator (OpAmp relaxation oscillator) for

given frequency and demonstrate its working.

b. Design and implement a rectangular waveform generator (OpAmp relaxation oscillator) using

a simulation package and demonstrate the change in frequency when all resistor values are

doubled.

Apparatus:

Sl No Particulars Range Qty

1 IC µA 741 01

2 Resisters As per Design -

3 Capacitors As per Design -

4 Diode BY 127 01

5 POT or DRB 20k 01

THEORY:

A relaxation oscillator is a circuit that repeatedly alternates between two states at with a

period that depends on the charging of a capacitor. The capacitor voltage may change exponentially

when charged or discharged through a resistor from a constant voltage, or linearly wh en charged

or discharged through a constant current source. With exponential charging, the timing is expressed in

terms of time constants RC.

PROCEDURE:

1. Check the components / Equipment for their working condition.

2. Make connections as shown in circuit diagram.

3. Check the output at pin 6 of op Amp.

4. Measure the frequency of the output and compare with the given value.

Dept. of ISE, C.I.T., Gubbi 18

ADE LAB - 15CSL37 2017-2018

Circuit Diagram: Wave forms:

Design:

Given f0 = 1 Khz

Relation between R1 and R2 is

R2 = 1.16 R1

Let R1= 10 kΩ. Then R2 = 11.6 kΩ.

To calculate R:

Formula to be used:

f0

1

2RC

Required f0 = 1 Khz. Assume C = 0.1µf then R= 5 kΩ

Result:

Sl No f0 (Theoretical) f0 (pract) H/W f0 (pract) simulation Remarks

Dept. of ISE, C.I.T., Gubbi 19

ADE LAB - 15CSL37 2017-2018

Experiment No: 03 Date: _

ASTABLE MULTIVIBRATOR

AIM:

Design and implement an astable multivibrator circuit using 555 timer for a given frequency

and duty cycle.

Apparatus:

Sl No Particulars Range Qty

1 555 timer -- 1

2 Power Supply -- -

3 Resistors As per design -

4 Capacitors As per design -

5 CRO and patch cords -- 1 set

Procedure:

a) Check the components / Equipment for their working condition.

b) Rig up the circuit as shown in the diagram

c) Observe the out put wave form, note down tc & td.

d) And calculate the duty cycle.

e) Compare the theoretical value with practical value.

Dept. of ISE, C.I.T., Gubbi 20

ADE LAB - 15CSL37 2017-2018

Astable Multivibrator

Circuit Diagram: Wave Form:

t

Design:

Let Time period T required be 1msec and duty cycle D = 60% = 0.6

Capacitor charging time = tc = 0.69RA C----------- (1)

Capacitor discharging time = td = 0.69 RB C ----------- (2)

But T tc t

d

and any % dutycycle=

tc

t +t c d

Then D= tc

T

D=0.6=

tc

1msec

tc 0.6msec and t

d 0.4msec

From (2): 0.4 0.69 R 2C

Dept. of ISE, C.I.T., Gubbi 21

ADE LAB - 15CSL37 2017-2018

Choose C = 0.01µf

R2 = 5.9k

Choose RB=5.6 k (+330 )

From (1): 0.6= 0.69 R1 C

RA = 8.6k

Result:

Sl No F(Theoretical) D(Theoretical) F(Practical) D(Practical)

Dept. of ISE, C.I.T., Gubbi 22

ADE LAB - 15CSL37 2017-2018

Experiment No: 04 Date: _

DESIGN OF ADDER AND SUBTRACTOR

AIM: Design and implement Half adder, Full Adder, Half subtractor, Full subtractor using basic gates.

Apparatus:

THEORY:

HALF ADDER:

A half adder has two inputs for the two bits to be added and two outputs one from the sum ‗ S‘ and other from the carry ‗ c‘ into the higher adder position. Above circuit is called as a carry signal

from the addition of the less significant bits sum from the X-OR Gate the carry out from the AND

gate.

FULL ADDER:

A full adder is a combinational circuit that forms the arithmetic sum of input; it consists of

three inputs and two outputs. A full adder is useful to add three bits at a time but a half adder cannot do so. In full adder sum output will be taken from X-OR Gate, carry output will be taken from OR

Gate.

HALF SUBTRACTOR:

The half subtractor is constructed using X-OR and AND Gate. The half subtractor has two input and

two outputs. The outputs are difference and borrow. The difference can be applied using X-OR Gate,

borrow output can be implemented using an AND Gate and an inverter.

Dept. of ISE, C.I.T., Gubbi 23

ADE LAB - 15CSL37 2017-2018

FULL SUBTRACTOR:

The full subtractor is a combination of X-OR, AND, OR, NOT Gates. In a full subtractor the logic

circuit should have three inputs and two outputs. The two half subtractor put together gives a full subtractor .The first half subtractor will be C and A B. The output will be difference output of full

subtractor. The expression AB assembles the borrow output of the half subtractor and the second term

is the inverted difference output of first X-OR.

HALF ADDER

Truth Table

K-Map for SUM:

SUM = A’B + AB’

CARRY = AB

ADE LAB - 15CSL37 2017-2018

Dept. of ISE, C.I.T., Gubbi 24

Circuit Diagram:

FULL ADDER

Truth Table

K-Map for SUM: SUM = A’B’C + A’BC’ + ABC’ + ABC

ADE LAB - 15CSL37 2017-2018

Dept. of ISE, C.I.T., Gubbi 25

K-Map for CARRY: CARRY = AB + BC + AC

Circuit Diagram:

Half Subtractor:

Truth Table

Difference=A'B+AB'

Borrow=A'B

ADE LAB - 15CSL37 2017-2018

Dept. of ISE, C.I.T., Gubbi 26

Circuit Diagram:

Full Subtractor

Truth Table

Difference=A B C

Borrow=A'C+A'B+BC

Circuit Diagram:

ADE LAB - 15CSL37 2017-2018

Dept. of ISE, C.I.T., Gubbi 27

Experiment No: 05 Date: _

MULTIPLEXER

AIM:

a. Given a 4-variable logic expression, simplify it using Entered Variable Map and realize the

simplified logic expression using 8:1 multiplexer IC.

b. Design and develop the Verilog /VHDL code for an 8:1 Multiplexer IC. Simulate and verify

its working.

Apparatus:

SI. NO Particulars Specification Quantity

1. Multiplexer IC IC 74151 1

2. Trainer Kit ---- 1

3. Patch cords ---- 20

Theory:

A multiplexer or simply ―mux‖ is a device that selects between a number of input signals. In

its simplest form, a multiplexer will have two input signals, 1 control input, and 1 output. The number

of inputs is generally a multiple of 2 (2, 4, 8, 16, etc), the number of outputs is 1, and n control inputs

are used to select one of the data inputs. The multiplexer output value is same as that of the selected

data input.

In other words, the multiplexer works like the input selector of a home music system. Only

one input is selected at a time, and the selected input is transmitted to the single output. While on the

music system, the selection of the input is made manually, the multiplexer chooses its input based on

a binary number, the address input.

Multiplexers are used in building digital semiconductors such as CPUs and graphics

controllers. They are also used in communications; the telephone network is an example of a very

large virtual mux built from many smaller discrete ones.

ADE LAB - 15CSL37 2017-2018

Dept. of ISE, C.I.T., Gubbi 28

Procedure:

1. Verify all components and patch cords for there good working condition.

2. Make the connection as shown in the circuit diagram.

3. Give supply to the trainer kit.

4. Provide input data to circuit via switches and verify the truth table.

Function Table:

INPUTS OUTPUT

Comments

A2 A1 A0

EN Q Q

X X X H H H If En pin is at logical high value then

irrespective of input, output will be 1.

L L L L D0

D0 If En pin is at logical zero value then if

input is 0 0 0 then output will be D0

L L H L D1

D1 If En pin is at logical zero value then if

input is 0 0 1 then output will be D1

L H L L D2

D2 If En pin is at logical zero value then if

input is 0 1 0 then output will be D2

L H H L D3

D3 If En pin is at logical zero value then if

input is 0 1 1 then output will be D3

ADE LAB - 15CSL37 2017-2018

Dept. of ISE, C.I.T., Gubbi 29

H L L L D4

D4 If En pin is at logical zero value then if

input is 0 1 0 then output will be D4

H L H L D5

D5 If En pin is at logical zero value then if

input is 0 1 0 then output will be D5

H H L L D6

D6 If En pin is at logical zero value then

if input is 0 1 0 then output will be D6

H H H L D7

D7 If En pin is at logical zero value then if

input is 1 1 1 then output will be D7

Consider a 4 Variable expression as:

f(w, x, y, z) = ∑ (2,4,5,7,10,11,14) + ∑‘ d (8,9,12,13,15)

Let ‗z‘ be map entered variable

Decimal

Value

Inputs MEV Output

F

Entry in

MEV Map

Comments

W X Y Z

0 0 0 0 0 0

0 (D0) If function F equals 0 for both values of MEV,

enter 0 in appropriate cell on MEV map 1 0 0 0 1 0

2 0 0 1 0 1

Z (D1)

If function F complements to the values of MEV

then enter complement of MEV. 3 0 0 1 1 0

4 0 1 0 0 1

1 (D2) If function equals 1 for both values of MEV,

enter 1. 5 0 1 0 1 1

6 0 1 1 0 0

Z (D3)

If function equals to MEV value the enter MEV. 7 0 1 1 1 1

8 1 0 0 0 X

X (D4)

If both function values are X then enter 0 or 1 9 1 0 0 1 X

10 1 0 1 0 1

1 (D5) If function equals 1 for both values of MEV,

enter 1 11 1 0 1 1 1

12 1 1 0 0 X

X (D6)

If both function values are X then enter 0 or 1 13 1 1 0 1 X

14 1 1 1 0 1

1 (D7) If function equals 1 for both values of MEV,

enter 1 15 1 1 1 1 X

ADE LAB - 15CSL37 2017-2018

Dept. of ISE, C.I.T., Gubbi 30

b. Design and develop the Verilog /VHDL code for an 8:1 Multiplexer IC. Simulate and verify its

working.

Entity MUX is

Port( sel : IN STD_LOGIC_VECTOR(2 DOWNTO 0);

A, B, C, D, E, F, G, H: IN STD_LOGIC;

Z: OUT STD_LOGIC);

End MUX;

Architecture Behavioral of MUX is

Begin

Process (sel, A, B, C, D, E, F, G, H)

Begin

Case sel is

When ―000‖ => Z <= A;

When ―001‖ => Z <= B;

When ―010‖ => Z <= C;

When ―011‖ => Z <= D;

When ―100‖ => Z <= E;

When ―101‖ => Z <= F;

When ―110‖ => Z <= G;

When ―111‖ => Z <= H;

When others => NULL;

End Case;

End Process;

End Behavioral;

Dept. of ISE, C.I.T., Gubbi 31

ADE LAB - 15CSL37 2017-2018

Experiment No: 06 Date: _

CODE CONVERTER

AIM:

Design and implement code converter I) Binary to Gray II) Gray to Binary Code using basic gates.

Apparatus: IC 7486, Patch Cords & digital trainer Kit

Description:

Gray Code is one of the most important codes. It is a non-weighted code which belongs to a class of

codes called minimum change codes. In this codes while traversing from one step to another step only

one bit in the code group changes. In case of Gray Code two adjacent code numbers differs from each

other by only one bit.

Binary to gray code conversion is a very simple process. There are several steps to do this types of

conversions.

Steps given below elaborate on the idea on this type of conversion.

(1) The M.S.B. of the gray code will be exactly equal to the first bit of the given binary number.

(2) Now the second bit of the code will be exclusive-or of the first and second bit of the given binary

number, i.e if both the bits are same the result will be 0 and if they are different the result will be 1.

(3) The third bit of gray code will be equal to the exclusive-or of the second and third bit of the given

binary number. Thus the Binary to gray code conversion goes on. One example given below can make

your idea clear on this type of conversion.

ADE LAB - 15CSL37 2017-2018

Dept. of ISE, C.I.T., Gubbi 32

BOOLEAN EXPRESSIONS:

G3=B3

G2=B3 ⊕ B2

G1=B1 ⊕ B2

G0=B1 ⊕ B0

Circuit Diagram

Truth Table

ADE LAB - 15CSL37 2017-2018

Dept. of ISE, C.I.T., Gubbi 33

Gray code to binary conversion is again very simple and easy process.

Following steps can make your idea clear on this type of conversions.

1. The M.S.B of the binary number will be equal to the M.S.B of the given gray code.

2. Now if the second gray bit is 0 the second binary bit will be same as the previous or the first bit. If

the gray bit is 1 the second binary bit will alter. If it was 1 it will be 0 and if it was 0 it will be 1.

3. This step is continued for all the bits to do Gray code to binary conversion.

BOOLEAN EXPRESSIONS:

B3=G3

B2=G3 ⊕ G2

B1=G3 ⊕ G2 ⊕ G1

B0=G3 ⊕ G2 ⊕ G1 ⊕ G0

Circuit Diagram:

ADE LAB - 15CSL37 2017-2018

Dept. of ISE, C.I.T., Gubbi 34

Truth Table

Procedure:

1. Check all the components for their working.

2. Insert the appropriate IC into the IC base.

3. Make connections as shown in the circuit diagram.

4. Verify the Truth Table and observe the outputs.

Result: Binary to Gray and Gray to Binary converters are designed , constructed using logic gates and

their truth table was verified.

ADE LAB - 15CSL37 2017-2018

Dept. of ISE, C.I.T., Gubbi 35

Experiment No: 07 Date: _

3-BIT PARITY GENERATOR AND 4- BIT PARITY CHECKER

AIM:

Design and verify the Truth Table of 3-bit Parity Generator and 4- bit Parity Checker using basic

Logic Gates with an even parity bit.

Apparatus:

SI. No. Particulars Specification Quantity

1. X-OR IC 7486 01

2. Trainer kit --- 01

3. Patch cords --- 20

C 7486, Patch Cords & Digital Trainer Kit.

Description:

The parity generating technique is one of the most widely used error detection techniques for

the data transmission. In digital systems, when binary data is transmitted and processed, data may be

subjected to noise so that such noise can alter 0s (of data bits) to 1s and 1s to 0s. Hence, parity bit is

added to the word containing data in order to make number of 1s either even or odd. Thus it is used to detect errors , during the transmission of binary data. The message containing the data bits along with

parity bit is transmitted from transmitter node to receiver node.

I) Parity Generator:

It is combinational circuit that accepts an n-1 bit stream data and generates the additional bit

that is to be transmitted with the bit stream. This additional or extra bit is termed as a parity bit. In

even parity bit scheme, the parity bit is „0 if there are even number of 1s in the data stream and the parity bit is „1‟ if there are odd number of 1s in the data stream.

Even Parity Generator

Let us assume that a 3-bit message is to be transmitted with an even parity bit. Let the three inputs A,

B and C are applied to the circuits and output bit is the parity bit P. The total number of 1s must be

even, to generate the even parity bit P.

ADE LAB - 15CSL37 2017-2018

Dept. of ISE, C.I.T., Gubbi 36

II) Parity Checker

It is a logic circuit that checks for possible errors in the transmission. This circuit can be an

even parity checker or odd parity checker depending on the type of parity generated at the transmission end. When this circuit is used as even parity checker, the number of input bits must

always be even. When a parity error occurs, the „sum even‟ output goes low and „sum odd‟ output

goes high. If this logic circuit is used as an odd parity checker, the number of input bits should be odd,

but if an error occurs the „sum odd‟ output goes low and „sum even‟ output goes high.

Even Parity Checker

Consider that three input message along with even parity bit is generated at the transmitting

end. These 4 bits are applied as input to the parity checker circuit which checks the possibility of error on the data. Since the data is transmitted with even parity, four bits received at circuit must have an

even number of 1s. If any error occurs, the received message consists of odd number of 1s. The output

of the parity checker is denoted by PEC (parity error check).

Truth Table: Parity Generator

The K-map simplification for 3-bit message even parity generator is

ADE LAB - 15CSL37 2017-2018

Dept. of ISE, C.I.T., Gubbi 37

From the above truth table, the simplified expression of the parity bit can be written as

To generate the even parity bit for a 4-bit data, three Ex-OR gates are required to add the 4- bits and

their sum will be the parity bit.

Circuit diagram:

Parity checker:

The below table shows the truth table for the even parity checker in which PEC = 1 if the error occurs,

i.e., the four bits received have odd number of 1s and PEC = 0 if no error occurs, i.e., if the 4-bit

message has even number of 1s.

ADE LAB - 15CSL37 2017-2018

Dept. of ISE, C.I.T., Gubbi 38

Truth Table

The above truth table can be simplified using K-map as shown below.

ADE LAB - 15CSL37 2017-2018

Dept. of ISE, C.I.T., Gubbi 39

The above logic expression for the even parity checker can be implemented by using three Ex-OR

gates as shown in figure. If the received message consists of five bits, then one more Ex-OR gate is

required for the even parity checking.

Circuit diagram:

Procedure:

1. Check all the components for their working.

2. Insert the appropriate IC into the IC base.

3. Make connections as shown in the circuit diagram.

4. Verify the Truth Table and observe the outputs.

Result: 3 bit parity generator and 4 bit parity checker is verified.

ADE LAB - 15CSL37 2017-2018

Dept. of ISE, C.I.T., Gubbi 40

Experiment No: 08 Date: _

MS – JK FLIP FLOP

AIM:

a. Realize a J-K Master / Slave Flip-Flop using NAND gates and verify its truth table.

b. b. Write the Verilog/ VHDL code for D FF with positive-edge triggering. Simulate and verify

its working.

Apparatus:

SI. No. Particulars Specification Quantity

1. 3 input Nand IC 7410 01

2. Input Nand IC 7400 02

3. Trainer kit --- 01

4. Patch cords --- 20

Theory:

JK flip-flop provides the solution for SR flip-flop problem. Compared to SR flip-flop, JK flip-

flop has two new connections from the Q and Q outputs back to the original input gates. JK flip-flop

behaves like the SR flip-flop except for input condition 1 and 1. Its output toggles for every clock

pulse input unlike SR flip-flop. Although JK flip-flop circuit is an improvement on the clocked SR

flip-flop it still suffers from timing problems called "race". This problem can be solved by Master-

slave flip-flop.

The Master-slave JK flip-flop is basically two JK bitable flip-flops connected together in a

series configuration with the outputs form Q and Q‘ from the slave flip-flop being fed back to the

inputs of the Master with the outputs of the Master flip-flop being connected to the two inputs of the

slave flip-flop. The circuit accepts input data when the clock signal is ―HIGH‖, and passes the data to

the output on the falling-edge of the clock signal. In other words, the Master-Slave JK flip-flop is a

―Synchronous‖ device as it only passes data with the timing of the clock signal.

ADE LAB - 15CSL37 2017-2018

Dept. of ISE, C.I.T., Gubbi 41

Circuit diagram:

JK FLIP-FLOP TRUTH TABLE:

J K Clk Q

Q

0 0 Pos– edge No Change

0 1 Pos– edge 0 1

1 0 Pos– edge 1 0

1 1 Pos– edge Toggle

X X Neg-edge No Change

Procedure:

1. Verify all the components and patch cords for there good working condition.

2. Make connection as shown in the circuit diagram.

3. Give supply to the trainer kit

4. Provide input data to circuit via switches and verify the truth table.

Result: Truth table is verified

ADE LAB - 15CSL37 2017-2018

Dept. of ISE, C.I.T., Gubbi 42

PIN DETAILS OF ICs:

ADE LAB - 15CSL37 2017-2018

Dept. of ISE, C.I.T., Gubbi 43

b. Write the Verilog/ VHDL code for D FF with positive-edge triggering. Simulate and verify its

working.

Entity DFF is

Port (D, CLK: IN STD_LOGIC;

Q: OUT STD_LOGIC);

End DFF;

Architecture Behavioral of DFF is

Begin

Process (CLK)

Begin

If (CLK‘event and CLK = ‗1‘) then

Q <= D;

End if;

End Process;

End Behavioral;

ADE LAB - 15CSL37 2017-2018

Dept. of ISE, C.I.T., Gubbi 44

Experiment No: 09 Date: _

SYNCHRONOUS COUNTER

AIM:

a. Design and implement a mod-n (n<8) synchronous up counter using J-K Flip-Flop ICs and

demonstrate its working.

b. Design and develop the Verilog / VHDL code for mod-8 up counter. Simulate and verify its

working.

Apparatus:

Sl.No Particulars Range/Specification Qty

1 JK flip-flop IC 7476 2

2 2 input Nand IC 7400 1

3 2 input And IC 7408 1

4 Digital IC trainer kit --- 1

5 Patch cords --- 20

Theory:

In digital logic and computing, a counter is a device which stores (and sometimes displays)

the number of times a particular event or process has occurred, often in relationship to a clock signal.

A synchronous counter is one whose output bits change sate simultaneously. Such a counter

circuit can be built from JK flip-flop by connecting all the clock inputs together, so that each and

every flip-flop receives the exact same clock pulse at the exact same time.

By examining the four-bit binary count sequence, it noticed that just before a bit toggles, all

preceding bits are "high". That is a synchronous up-counter can be implemented by toggling the bit

when all of the less significant bits are at a logic high state. For example, bit 1 toggles when bit 0 is

logic high; bit 2 toggles when both bit 1 and bit 0 are logic high; bit 3 toggles when bit 2, bit 1 and bit

0 are all high; and so on.

IC 7476 contains 2 JK flip-flops with preset and clear signals.

ADE LAB - 15CSL37 2017-2018

Dept. of ISE, C.I.T., Gubbi 45

Procedure:

1. Verify all the components and patch cords for there good working condition.

2. Make connection as shown in the circuit diagram.

3. Give supply to the trainer kit

4. Provide input data to circuit via switches and verify the truth table.

Circuit diagram of Mod – 8 counter:

DESIGN FOR MOD 8 UP COUNTER:

Present State Next state Flip flop inputs

QC QB QA QC+1 QB+1 QA+1 KC JC KB JB KA JA

0 0 0 0 0 1 X 0 X 0 X 1

0 0 1 0 1 0 X 0 X 1 1 X

0 1 0 0 1 1 X 0 0 X X 1

0 1 1 1 0 0 X 1 1 X 1 X

1 0 0 1 0 1 0 X X 0 X 1

1 0 1 1 1 0 0 X X 1 1 X

1 1 0 1 1 1 0 X 0 X X 1

1 1 1 0 0 0 1 X 1 X 1 X

ADE LAB - 15CSL37 2017-2018

Dept. of ISE, C.I.T., Gubbi 46

Design:

Mod-5 Circuit Diagram:

ADE LAB - 15CSL37 2017-2018

Dept. of ISE, C.I.T., Gubbi 47

b. Design and develop the Verilog / VHDL code for mod-8 up counter. Simulate and verify its

working.

Entity Mod8 is

Port (CLK, CLR: IN STD_LOGIC;

Q: INOUT STD_LOGIC_VECTOR (2 DOWNTO 0));

End Mod8;

Architecture Behavioral of Mod8 is

Begin

Process (CLK)

Begin

End Process;

End Behavioral;

If (CLK‘event and CLK = ‘1‘) then

If (CLR = ‗1‘) then

Q <= ―000‖;

Else Q <= Q + 1;

End if;

End if;

Dept. of ISE, C.I.T., Gubbi 48

ADE LAB - 15CSL37 2017-2018

Experiment No: 10 Date: _

DECADE COUNTER

AIM:

Design and implement asynchronous counter using decade counter IC to count up from 0 to n (n≤9)

and demonstrate on seven segment display (using IC-7447).

Apparatus:

Theory:

A BCD counter is a special type of a digital counter which can count to ten on the application

of a clock signal. In asynchronous counter a clock signal is provided for one flip-flop and its output is

provided as clock source for next flip-flop. The output of asynchronous counter is not synchronized

with clock signal.

The 7490 is an asynchronous decade counter, able to count from 0 to 9 cyclically, and that is

its natural mode. To make 7490 to work in normal mode the pin numbers 2, 3, 6, and 7 should hold at

Low state. QA, QB, QC, QD are 4 output pins which gives the binary value of the decimal count. Pin

14 is Clock input.

Pin 2 and 3: Set inputs. They held to Low to activate 7490 IC as decade counter. At any

instant of time, if they provide High signal then the output will hold at Low state until Pin 2 and 3

brought to Low voltage. Pin 6 and 7: Clear inputs. At any instant of time, if they provide High signal

then the output will hold at High state until Pin 6 and 7 brought to Low voltage.

Procedure:

1. Verify all the components and patch cords for their good working condition.

2. Connect the reset terminals to high and set terminals to low and observer the output.

3. And now make connection as shown in the circuit diagram.

Dept. of ISE, C.I.T., Gubbi 49

ADE LAB - 15CSL37 2017-2018

4. Give supply to the trainer kit and verify the truth table.

Truth Table:

BCD Counter State Diagram

Dept. of ISE, C.I.T., Gubbi 50

ADE LAB - 15CSL37 2017-2018

To demonstrate on 7-segment display (using IC-7447)

The 74LS47 display decoder receives the BCD code and generates the necessary signals to

activate the appropriate LED segments responsible for displaying the number of pulses applied. As

the 74LS47 decoder is designed for driving a common-anode display, a LOW (logic-0) output will

illuminate an LED segment while a HIGH (logic-1) output will turn it ―OFF‖. For normal operation,

the LT (Lamp test), BI/RBO (Blanking Input/Ripple Blanking Output) and RBI (Ripple Blanking

Input) must all be open or connected to logic-1 (HIGH). Note that while the 74LS47 has active LOW

outputs and is designed to decode a common anode 7 segment LED display, the 74LS48

decoder/driver IC is exactly the same except that it has active HIGH outputs designed to decode a

common cathode 7 segment displays. So depending upon the type of 7- segment LED display you

have you may need a 74LS47 or a 74LS48 decoder IC. The 74LS47 binary coded decimal inputs can

be connected to the corresponding outputs of the 74LS90 BCD Counter to display the count sequence

on the 7-segment display as shown each time the pushbutton SW1 is pressed. By changing the

position of the pushbutton and 10kΩ resistor, the count can be made to change on the activation or

release of the pushbutton switch, SW1.

Final 4-bit BCD Counter Circuit

Result: Truth table of deacade counter are verified .

Dept. of ISE, C.I.T., Gubbi 51

ADE LAB - 15CSL37 2017-2018

Experiment No: 11 Date: _

DAC

AIM:

Generate a Ramp output waveform using DAC0800 (Inputs are given to DAC through IC74393 dual

4-bit binary counter).

Apparatus:

Theory:

A digital-to-analogue converter (DAC) is a chip or circuit that converts a number (digital) into

a voltage or current (analogue). The DAC is a useful interface between a computer and an output

transducer. For example, DACs are used to control devices that require a continuous range of control

voltages or currents such as electro-acoustic transducers (speakers), some types of variable -speed

motors, and many other applications where an analogue signal output is required. Another common

application is to recreate waveforms from digital signals – for example in CD players. An N-bit DAC

can output 2N different levels in 2N -1 steps so if we have an 4 bit DAC then this gives us 2 N = 16

different output levels in 15 steps.

The DAC0800 series are monolithic 8-bit high-speed current-output digital-to-analog

converters (DAC) featuring typical settling times of 100 ns.

The NTE74393 is a monolithic dual 4−bit binary ripple counter in a 14−Lead plastic DIP type

package that contains eight master−slave flip−flops and additional gating to implement two individual

four−bit counters. This device contains two independent four−bit binary counters each having a clear

and a clock input. N−bit binary counters can be implemented with each package providing the

Dept. of ISE, C.I.T., Gubbi 52

ADE LAB - 15CSL37 2017-2018

capability of divide−by−265. The NTE74393 has parallel outputs from each counter stage so that any

sub multiple of the input count frequency is available for system−timing signals.

B1, B2, B3, B4, B5, B6, B7, B8 in DAC Function Table for Counter 74393

Dept. of ISE, C.I.T., Gubbi 53

ADE LAB - 15CSL37 2017-2018

Procedure:

1. Make connections as shown in Fig below.

2. Calculate the theoretical values in the above table.

3. Observe the wave form in the CRO and Make a note of the reading.

4. Make a note of the practical Value in the above table.

5. Calculate the resolution and Accuracy from the obtained values.

A sample circuit to generate a Ramp wave form from DAC with input from 74393. The output

waveform is a ramp wave with a peak of 10V.

Result: Function table of 74393 is verified.

Dept. of ISE, C.I.T., Gubbi 54

ADE LAB - 15CSL37 2017-2018

Experiment No: 11 Date: _

STUDY EXPERIMENT: TO STUDY 4 BIT ALU

AIM: To study 4-bitALU using IC-74181.

Apparatus:

Sl.No Particulars Range/Specification Qty

1 IC 74181 1

2 Digital IC trainer kit --- 1

3 Patch cords --- 30

Theory:

The 74181 is a bit slice arithmetic logic unit (ALU), implemented as a 7400 series.TTL

integrated circuit. The 74181 is a 7400 series medium-scale integration (MSI) TTL integrated circuit,

containing the equivalent of 75 logic gates[2] and most commonly packaged as a 24-pin DIP. The 4-

bit wide ALU can perform all the traditional add / subtract / decrement operations with or without

carry, as well as AND / NAND, OR / NOR, XOR, and shift. Many variations of these basic functions

are available, for a total of 16 arithmetic and 16 logical operations on two four-bit words. Multiply

and divide functions are not provided but can be performed in multiple steps using the shift and add or

subtract functions. Shift is not an explicit function but can be derived from several available functions

including (A+B) plus A, A plus AB.

An arithmetic logic unit (ALU) is a basic unit in computers. As the name implies, it performs various

arithmetic and logical operations on the inputs (operands). The operation performed depends upon

how the function select lines are set. The five function select inputs of the 74181 ALU consist of one

mode control (M), and four select inputs (S3, S2, S1, and S0). When M = 1, logic functions are

realized. When M = 0, arithmetic functions are realized. The arithmetic functions also use the carry-

in. The listing of available functions and the encoding is in the parts list. You will use the table for

ACTIVE HIGH DATA. High voltage represents 1, and low voltage represents 0. Notice that with this

table the carry in Cin (denoted Cn) and carry out Cout (denoted Cn+4)) are asserted low. That is, Cin

= 1 means no carry, while Cin = 0 means a carry has occurred. In the table "+" means logical OR, and

"PLUS" means addition. Note that some logical operations are possible when the arithmetic functions

are realized.

Dept. of ISE, C.I.T., Gubbi 55

ADE LAB - 15CSL37 2017-2018

Procedure:

1. Connections are made according to the IC Pin diagram.

2. Change the values of the input and verify at least 5 functions given in the Function table.

Dept. of ISE, C.I.T., Gubbi 56

ADE LAB - 15CSL37 2017-2018

REFERENCES:

1. Stephen Brown, Zvonko Vranesic: Fundamentals of Digital Logic Design With VHDL, 2nd

edition, TATA McGraw Hill, 2005.

2. Fundamentals of Digital Logic with Verilog Design, Stephen Brown, Zvonko Vranesic, TMH,

2006.

3. Jacob Millman, Christos Halkias, Chetan D Parikh: Millman‘s Integrated Electronics Analog and

Digital Circuits and Systems, 2nd Edition, Tata McGraw Hill, 2010.

4. R. D. Sudhaker Samuel: Electronic Circuits, Sanguine-Pearson, 2010.

5. Electronic Principles, Albert Malvino & David J Bates, 7th Edition.

6. Electronic Devices and Circuit Theory, Robert L. Boylestad, Louis Nashelsky, 9 th Edition

7. Anil K Maini, Varsha Agarwal: Electronic Devices and Circuits, Wiley, 2009

8. Donald P Leach, Albert Paul Malvino & Goutam Saha: Digital Principles and Applications, 7th

Edition, Tata McGraw Hill, 2010.

9. M Morris Mano: Digital Logic and Computer Design, 10 th Edition, Pearson Education

10. R D Sudhaker Samuel: Illustrative Approach to Logic Design, Sanguine- Pearson, 2010.

Dept. of ISE, C.I.T., Gubbi 57

ADE LAB - 15CSL37 2017-2018

VIVA QUESTIONS

SCHMITT TRIGGER

1. What is Schmitt Trigger?

2. Explain the working of Schmitt trigger.

3. Define the term U.T.P and L.T.P?

4. Define Dead band or Dead zone.

5. Mention the applications of Schmitt Trigger.

RELAXATION OSCILLATOR:

1. Why this circuit is named as Relaxation oscillator?

2. What is an oscillator?

3. What are the different types of oscillators?

4. Explain the working of the relaxation oscillator.

ASTABLE MULTIVIBRATOR:

1. Define a multivibrator?

2. What are the different types of Multivibrators?

3. Explain the working of astable multivibrator.

4. Define duty cycle?

5. What is the necessity of the diode in the circuit shown of a astable multivibrator?

6. Explain the operation of a 555 timer IC.

MULTIPLEXER:

1. What is a multiplexer? 2. What is the advantage of using VEM Technique?

3. What is the importance of Enable Pin? 4. Differentiate between a MUX and a Decoder?

5. What is the importance of select line in a MUX?

6. How to decide the number of Select lines for any MUX?

FLIP FLOPS:

1. Differentiate between a latch and a Flip-Flop.

2. What are the different types of flip-flops? Explain by showing the truth table?

3. What is Race-around problem? How can you rectify it?

4. Differentiate between Sequential and Combinational circuits. 5. Explain the working of a MS-JK flip-flop.

6. What are the difference between +ve edge triggered and –ve edge triggered circuit? 7. What is a counter?

Dept. of ISE, C.I.T., Gubbi 58

ADE LAB - 15CSL37 2017-2018

8. Differentiate between synchronous and asynchronous counters.

9. What types of flip-flops can be used to implement the memory elements of a counter? 10. Explain the use of PRESET and CLEAR pins in flip-flop.

SHIFT REGISTERS AND COUNTERS:

1. What are registers?

2. What are shift registers? 3. What are the different types of shift registers?

4. Differentiate between SIOP, PISO, PIPO and SISO.

5. Differentiate between a Ring counter and Johnson counter. 6. Why it is called as decade counter?

7. Explain the pins of 7490 IC. 8. Difference between BCD and Binary counter.

9. What is the maximum count of a BCD counter?

10. Mention the steps in designing a counter? 11. Identify the internal components of IC 7490.