an introduction to synopsys design automation jeremy lee [email protected] november 7, 2007

24
An Introduction to Synopsys Design Automation Jeremy Lee [email protected] November 7, 2007

Post on 21-Dec-2015

214 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: An Introduction to Synopsys Design Automation Jeremy Lee jslee@engr.uconn.edu November 7, 2007

An Introduction to Synopsys Design Automation

Jeremy [email protected] 7, 2007

Page 2: An Introduction to Synopsys Design Automation Jeremy Lee jslee@engr.uconn.edu November 7, 2007

Introduction

Why the need CAD tools? Time to market decreasing (< a year) Designs are becoming more complex

(System-on-a-chip)

Synopsys is one of many EDA vendors vying for designer mind-share

Page 3: An Introduction to Synopsys Design Automation Jeremy Lee jslee@engr.uconn.edu November 7, 2007

Introduction (cont.)

Why do we (in academia) need CAD tools?

Keep our research relevant to industry

Know what needs improving (academia on cutting edge)

Page 4: An Introduction to Synopsys Design Automation Jeremy Lee jslee@engr.uconn.edu November 7, 2007

What will be covered?

Overview of tools What’s available? What do the tools do?

Example Flow

Will not be a step-by-step how-to.

Page 5: An Introduction to Synopsys Design Automation Jeremy Lee jslee@engr.uconn.edu November 7, 2007

Getting Synopsys Started at UConn Synopsys Linux binaries are available on the

ECS fileserver:/apps/ecs-apps/software/synopsys

Releases: Y-2006, Z-2007 bashrc and cshrc files located at

/apps/ecs-apps/software/synopsys/etc Synopsys directory can be mounted directly

using NFS files:/ApplicationDirectories/nfs/ecs-

apps/software/synopsys Tools are location dependent

Must be in same directory structure as on server Gui or console modes

Page 6: An Introduction to Synopsys Design Automation Jeremy Lee jslee@engr.uconn.edu November 7, 2007

Synopsys Galaxy Platform at UConn (Y-2006)

Design CompilerJupiterXT

AstroPhysical Compiler

Design Automation

PrimeTime SI/PX/VXPrimePowerStar-RCXTFormality

VCSNanosimHSpice

Sign-off / Validation / Verification

DFT CompilerDFT MAXTetraMAX

Design for Test

Page 7: An Introduction to Synopsys Design Automation Jeremy Lee jslee@engr.uconn.edu November 7, 2007

Design Automation

Design Compiler RTL to gate-level synthesis

Physical Compiler Layout-aware RTL to gate-level synthesis

JupiterXT Floorplanning tool

Astro Placement and routing

Page 8: An Introduction to Synopsys Design Automation Jeremy Lee jslee@engr.uconn.edu November 7, 2007

Design Compiler (DC) Synthesizes gate level netlists from

RTL-level Optimizes netlists

Removes unused or redundant logic Tie-off nets that are constant

Requires standard cell library timing characterization

Attempts to meet timing and area constraints (SDC File)

Page 9: An Introduction to Synopsys Design Automation Jeremy Lee jslee@engr.uconn.edu November 7, 2007

Libraries

Supposed to be provided by fab Gates in standard cell library Operating condition corners

Gate delays Wire load models

Compensates delay for fan-out

Page 10: An Introduction to Synopsys Design Automation Jeremy Lee jslee@engr.uconn.edu November 7, 2007

SDC File

Synopsys Design Constraints (SDC) Set up clock period Specifies timing and area

requirements that are to be met during mapping and optimization

Page 11: An Introduction to Synopsys Design Automation Jeremy Lee jslee@engr.uconn.edu November 7, 2007

SDC Constraints

Input Delay

Output Delay

Driving Cell

Load

Page 12: An Introduction to Synopsys Design Automation Jeremy Lee jslee@engr.uconn.edu November 7, 2007

DC Flow

ReadNetlist

Map toLink Library

(if gate-level)

Apply Constraints

Netlist

Write-outOptimized

NetlistSDC

Cons.

Map toTarget Libraryand Optimize

ReadLibrariesLibraries

Page 13: An Introduction to Synopsys Design Automation Jeremy Lee jslee@engr.uconn.edu November 7, 2007

JupiterXT

Floorplanning Power/Ground Network Planning Pin/Power pad placement Blockages Memory placement

Performed through GUI or command line

Page 14: An Introduction to Synopsys Design Automation Jeremy Lee jslee@engr.uconn.edu November 7, 2007

Astro

Placement and routing tool Requires physical information of

standard cell library (provided by fab) Graphic Data System (GDSII) Library Exchange Format (LEF)

Physical design in multiple formats GSDII Design Exchange Format (DEF)

Page 15: An Introduction to Synopsys Design Automation Jeremy Lee jslee@engr.uconn.edu November 7, 2007

Astro Flow

ImportNetlist andConstraints

Netlist

OpenLibrariesLibraries

Read/SetupFloorplan

RunPlacement

Routing

PhysicalDesignSDC

Cons.

Page 16: An Introduction to Synopsys Design Automation Jeremy Lee jslee@engr.uconn.edu November 7, 2007

Synopsys Galaxy Platform at UConn (Y-2006)

Design CompilerJupiterXT

AstroPhysical Compiler

Design Automation

PrimeTime SI/PX/VXPrimePowerStar-RCXTFormality

VCSNanosimHSpice

Sign-off / Validation / Verification

DFT CompilerDFT MAXTetraMAX

Design for Test

Page 17: An Introduction to Synopsys Design Automation Jeremy Lee jslee@engr.uconn.edu November 7, 2007

Sign-off/Validation/Verification

Formality Verify netlist

PrimeTime SI/PX/VX Timing validation (signal-integrity,

power-aware, variation-aware) PrimePower

Power validation

Page 18: An Introduction to Synopsys Design Automation Jeremy Lee jslee@engr.uconn.edu November 7, 2007

Sign-off/Validation/Verification (cont.)

Star-RCXT Extraction tool

VCS HDL simulator

NanoSim HDL simulator w/ parasitics

HSpice Spice simulator

Page 19: An Introduction to Synopsys Design Automation Jeremy Lee jslee@engr.uconn.edu November 7, 2007

PrimeTime SI/PX/VX (PT-SI/PX/VX)

Calculates and reports path delays Verify operating frequency after logic

synthesis Can be back-annotated with extracted

parasitics for post-layout verification

Page 20: An Introduction to Synopsys Design Automation Jeremy Lee jslee@engr.uconn.edu November 7, 2007

PT-SI/PX/VX Flow

ReadNetlist

Map toLink Library

(if gate-level)

Apply Constraints

Netlist

SDC

Cons.

ReadLibrariesLibraries

Back-annotatedesign

ReportTiming results

Meetspec? ECO

Nextphase

Yes

No

Parasitics

ProcessVariation

*New*

Page 21: An Introduction to Synopsys Design Automation Jeremy Lee jslee@engr.uconn.edu November 7, 2007

Putting the Pieces Together

RTL

Netlist

SDC

Cons.

LogicSynthesis

Gate

Netlist

LogicLibraries

Sign-offFails

Passes

PhysicalSynthesis

PhysicalLibraries

Layout

Extraction

Sign-offFails

Passes

ToFab

Page 22: An Introduction to Synopsys Design Automation Jeremy Lee jslee@engr.uconn.edu November 7, 2007

Synopsys Galaxy Platform at UConn (Y-2006)

Design CompilerJupiterXT

AstroPhysical Compiler

Design Automation

PrimeTime SI/PX/VXPrimePowerStar-RCXTFormality

VCSNanosimHSpice

Sign-off / Validation / Verification

DFT CompilerDFT MAXTetraMAX

Design for Test

Page 23: An Introduction to Synopsys Design Automation Jeremy Lee jslee@engr.uconn.edu November 7, 2007

Design for Test

DFT Compiler Scan chain insertion

DFT Max Test compression tool

TetraMax Automatic test pattern generation

(ATPG)

Page 24: An Introduction to Synopsys Design Automation Jeremy Lee jslee@engr.uconn.edu November 7, 2007

Additional Reading Synopsys Website

www.synopsys.com Documentation

Synopsys OnLine Documenation (SOLD) Available on any of the UConn ECS Linux

servers Electronic Synopsys Users Group

(ESNUG) www.deepchip.com