an automated systematic cdc verification methodology based ... · pdf filean automated...

16
An Automated Systematic CDC Verification Methodology based on SDC setup Ashish Hari, Sulabh Kumar Khare © Accellera Systems Initiative 1

Upload: vuongque

Post on 30-Jan-2018

242 views

Category:

Documents


3 download

TRANSCRIPT

Page 1: An Automated Systematic CDC Verification Methodology based ... · PDF fileAn Automated Systematic CDC Verification Methodology based ... – Information is gathered in no standard

An Automated Systematic CDC Verification Methodology based on

SDC setup Ashish Hari, Sulabh Kumar Khare

© Accellera Systems Initiative 1

Page 2: An Automated Systematic CDC Verification Methodology based ... · PDF fileAn Automated Systematic CDC Verification Methodology based ... – Information is gathered in no standard

Agenda CDC Verification Challenge Traditional CDC Verification Methodology Proposed Non-iterative Methodology Decoding SDC for CDC Visualize and validate SDC Setup Conclusions

© Accellera Systems Initiative 2

Page 3: An Automated Systematic CDC Verification Methodology based ... · PDF fileAn Automated Systematic CDC Verification Methodology based ... – Information is gathered in no standard

Quality of Verification

Time to Complete

Verification

Cost Impact

CDC Verification Approach

Feature Loaded Designs

- IP Reuse - Multi Mode - Low Power

Multi-Site Development & Integration

CDC Verification Challenge

© Accellera Systems Initiative 3

Page 4: An Automated Systematic CDC Verification Methodology based ... · PDF fileAn Automated Systematic CDC Verification Methodology based ... – Information is gathered in no standard

Design Flow

• Architecture, Design &

Block development can happen across geographies

• IP Blocks are pre-verified for CDC errors by Vendor

• Verification team is usually different from the designer team

© Accellera Systems Initiative 4

Page 5: An Automated Systematic CDC Verification Methodology based ... · PDF fileAn Automated Systematic CDC Verification Methodology based ... – Information is gathered in no standard

Traditional CDC Verification Methodology

` ?

Loop

Extra

© Accellera Systems Initiative 5

Page 6: An Automated Systematic CDC Verification Methodology based ... · PDF fileAn Automated Systematic CDC Verification Methodology based ... – Information is gathered in no standard

Limitations • Iterative Process • Extra load on CDC tool – Suboptimal Setup • Information extraction is difficult

– Designer access is limited at this stage – Information is gathered in no standard format.

• Constraints extraction manual and time consuming process – Validating the constraints is another challenge

6 © Accellera Systems Initiative

Page 7: An Automated Systematic CDC Verification Methodology based ... · PDF fileAn Automated Systematic CDC Verification Methodology based ... – Information is gathered in no standard

PROPOSED NON-ITERATIVE METHODOLOGY

© Accellera Systems Initiative 7

Page 8: An Automated Systematic CDC Verification Methodology based ... · PDF fileAn Automated Systematic CDC Verification Methodology based ... – Information is gathered in no standard

Use SDC as standard CDC setup file • Static Timing Analysis (STA) constraint information is specified

using a de-factor standard format: SDC (Synopsys Design Constraints File)

• CDC And STA are both complimentary verification problems caused by clock relations ships. CDC verifies logic across asynchronous domains. STA verifies logic under synchronous domains.

• SDC setup is generally reliable and available at various stages of design flow. Designers and verification engineers understand SDC.

• Design constraint information in SDC can be translated or directly used as CDC setup to start CDC Verification.

© Accellera Systems Initiative 8

Page 9: An Automated Systematic CDC Verification Methodology based ... · PDF fileAn Automated Systematic CDC Verification Methodology based ... – Information is gathered in no standard

CDC Verification Flow with SDC setup

*

*

* * * *

* * *

*

Designer Knowledge

Optimal Setup for Tool Run

SDC setup exists at all

stages

Non Iterative Methodology

Info Updated at Right Place Right Source

Accurate Results

SDC

Start CDC verification

Constraint setup from SDC file

Run CDC tool

Analyze Results

Fix CDC errors

SDC

© Accellera Systems Initiative 9

Page 10: An Automated Systematic CDC Verification Methodology based ... · PDF fileAn Automated Systematic CDC Verification Methodology based ... – Information is gathered in no standard

Extracting CDC constraints from SDC Decoding SDC for CDC

1. Inferring correct clock domains 2. Constraining primary ports in correct clock domains 3. Identifying constants 4. Identifying functional false paths

© Accellera Systems Initiative 10

create_clock : Specifies primary Clocks create_generated_clock : Specifies derived clocks Infer all clocks as synchronous by default. Asynchronous categorization is inferred from - set_clock_groups : Mark clocks as asynchronous set_false_path : If specified between clocks, such clocks are asynchronous

Port Domain Inference ( Port-Clock Relation) constraints – set_input_transition set_input_delay set_output_delay

Constant Port Information (Mode Signals) set_case_analysis set_logic_zero set_logic_one

Functional false paths are also specified using – set_false_path -from <tx-sig> -to <rx-sig>

Page 11: An Automated Systematic CDC Verification Methodology based ... · PDF fileAn Automated Systematic CDC Verification Methodology based ... – Information is gathered in no standard

Visualize and validate SDC Setup

© Accellera Systems Initiative 11

• SDC setup is developed primarily for timing tools • Validation of constraints is critical to qualify for CDC

verification • Debug tool for SDC setup validation:

– Visualize the SDC clocks tree – Qualify then grouping of clocks – Debug the clock grouping using a SDC command

graph

Page 12: An Automated Systematic CDC Verification Methodology based ... · PDF fileAn Automated Systematic CDC Verification Methodology based ... – Information is gathered in no standard

Visualize clock tree

© Accellera Systems Initiative 12

– Visualize the SDC clocks tree – Qualify then grouping of clocks

Page 13: An Automated Systematic CDC Verification Methodology based ... · PDF fileAn Automated Systematic CDC Verification Methodology based ... – Information is gathered in no standard

Debug using commands Graph

© Accellera Systems Initiative 13

– Debug the clock grouping using a SDC command graph

– Identify culprit commands in case of any broken assumption

Page 14: An Automated Systematic CDC Verification Methodology based ... · PDF fileAn Automated Systematic CDC Verification Methodology based ... – Information is gathered in no standard

Challenges

14

Extracting CDC Information from SDC File is critical. SDC File can be incomplete or incorrect

Incorrect SDC file or errors in decoding it can adversely impact verification setup.

© Accellera Systems Initiative

Page 15: An Automated Systematic CDC Verification Methodology based ... · PDF fileAn Automated Systematic CDC Verification Methodology based ... – Information is gathered in no standard

Conclusion • Easy and Reliable Setup • Iterative nature of CDC methodologies is eliminated

by standard setup – Acceptable results are attained in first iteration itself.

• Constraints validated with visual aids before applying to CDC

• Experiments with proposed methodology highlight all these benefits on customer designs.

© Accellera Systems Initiative 15

Page 16: An Automated Systematic CDC Verification Methodology based ... · PDF fileAn Automated Systematic CDC Verification Methodology based ... – Information is gathered in no standard

Questions

© Accellera Systems Initiative 16