vhdl project : design of a robot

Post on 06-Jan-2016

37 Views

Category:

Documents

0 Downloads

Preview:

Click to see full reader

DESCRIPTION

VHDL Project : Design of a ROBOT. -Anuja Kumar. Specifications and Assumptions:. 1) 5 degrees of movement – Base movement (A) Shoulder movement (B) Arm movement (C) Wrist Movement (D) Claw movement (E) - PowerPoint PPT Presentation

TRANSCRIPT

VHDL Project : Design of a ROBOT

-Anuja Kumar

Specifications and Assumptions:

1) 5 degrees of movement –• Base movement (A)• Shoulder movement (B)• Arm movement (C)• Wrist Movement (D)• Claw movement (E)

2) Five stations- L, S1, S2, S3 and S4 that can load and unload the robot arm and have priorities from highest to lowest respectively.

3) Load and Unload at same station is not done.

4) Loading operation at any station consequential of an unloading operation requested by a lower priority station can be interrupted by an unloading operation request by a higher priority station.

5) Unloading operations cannot be interrupted.

2

Inputs :

3

SENSORS UNLOAD STATIONand DEST

00001 L

00010 S1

00100 S2

01000 S3

10000 S4

SOURCE LOAD STATION

000 L

001 S1

010 S2

011 S3

100 S4

Sensors- decides unloading station-requesting station

Source-decides loading station

Flowchart

4

SIMPLISTIC STATE MACHINE

5

Test Bench

• Load at S4 and unload requests from S3,S2,S1,L• Load at S3 and unload requests from S4,S2,S1,L• Load at S2 and unload requests from S4,S3,S1,L• Load at S1 and unload requests from S4,S3,S2,L• Load at L and unload requests from S4,S3,S2,S1

• Reset between each of the above scenarios• Clock : toggling every 50 ns

6

Simulation Results:Load S4-Unload S3

Simulation results: Load S3-unload S4

Simulation results: Load S2-Unload S1

Simulation results: Load S1-Unload S2

Simulation results: Load L-Unload S3

Simulation results: Interrupt

Thank you..

top related