03- to edit

32
Part II Unit Processes I: Hot Processing and Ion Implantation 41 Until now, only the semiconductor substrate itself has been discussed. This section will begin a discussion of unit processes. These are the individual process steps carried out in typical fabrication technologies. A later section will discuss how these unit processes are put together to form functional blocks (known as process modules) and ultimately, a technology. This first section on unit processes will discuss those processes related to dopant introduction and movement as well as the growth of thermal oxides. Since dopants are necessary for all types of devices, they are some of the first processes developed for fabrication. For the device to operate properly, the doped regions must have the right concentrations and sizes. This section will therefore first discuss the movement of dopant impurity atoms through diffusion. Early technologies used gaseous or liquid vapor sources in high temperature ovens to introduce the impurities into the wafer. As device size was reduced, however, ion implantation was developed to better control the position and amount of impurity in the wafer. As standard implanta- tion and high temperature annealing steps began to prove inadequate, special methods were developed to allow the formation of very shallow, heavily doped regions. One of the most important of these is rapid thermal processing, which will be discussed in Chapter 6. Chapter 4 will also cover the thermal oxidation of silicon. Unlike the other chapters, only silicon oxidation will be discussed, since this process is not used in compound semiconductor technologies. Once a new technology rolls over you, if you are not part of the steamroller you’re part of the road. 1 1 Stuart Brand, founder, Whole Earth Catalog.

Upload: hansen186

Post on 20-Feb-2015

461 views

Category:

Documents


2 download

TRANSCRIPT

Page 1: 03- to edit

Part II

Unit Processes I: HotProcessing and IonImplantation

41

Until now, only the semiconductor substrate itself has been discussed. This section willbegin a discussion of unit processes. These are the individual process steps carried outin typical fabrication technologies. A later section will discuss how these unitprocesses are put together to form functional blocks (known as process modules) andultimately, a technology. This first section on unit processes will discuss those processesrelated to dopant introduction and movement as well as the growth of thermal oxides.Since dopants are necessary for all types of devices, they are some of the first processesdeveloped for fabrication. For the device to operate properly, the doped regions musthave the right concentrations and sizes. This section will therefore first discuss themovement of dopant impurity atoms through diffusion. Early technologies usedgaseous or liquid vapor sources in high temperature ovens to introduce the impuritiesinto the wafer. As device size was reduced, however, ion implantation was developed tobetter control the position and amount of impurity in the wafer. As standard implanta-tion and high temperature annealing steps began to prove inadequate, special methodswere developed to allow the formation of very shallow, heavily doped regions. One ofthe most important of these is rapid thermal processing, which will be discussed inChapter 6. Chapter 4 will also cover the thermal oxidation of silicon. Unlike the otherchapters, only silicon oxidation will be discussed, since this process is not used incompound semiconductor technologies.

Once a new

technology rolls

over you, if you

are not part of

the steamroller

you’re part of

the road.1

1Stuart Brand, founder, Whole Earth Catalog.

Page 2: 03- to edit

Chapter 3

Diffusion

43

E very semiconductor device relies on the ability to fabricate well-controlled, locally doped regionsof the wafer. The chemical impurities must therefore first be introduced into some sections ofthe wafer; they must be active so that they contribute the desired carrier; and they must be the

concentration desired by the device designer. Frequently, concentration profiles will be described. Asshown in Figure 3.1, the impurity concentration or the carrier concentration is plotted on the verticalaxis. The depth into the wafer is plotted on the horizontal axis. Typically, the y variable will vary overmany orders of magnitude. For that reason, the concentration is normally given on a logarithmic scale.Recall that the number density of silicon is 5 � 1022 atoms/cm3, so that typical impurity concentrations(1017 atoms/cm3) for active device regions are doped as lightly as a few parts per million.

After the impurities are introduced they may redistribute in the wafer. This may be intentionalor it may be a parasitic effect of a thermal process. In either event, it must be controlled and moni-tored. The motion of impurity atoms in the wafer occurs primarily by diffusion, the net movement ofa material that occurs near a concentration gradient as a result of random thermal motion. This chap-ter will introduce the differential equations that describe diffusion, solve the equations in closed formfor two sets of boundary conditions, describe the physics involved in the diffusion coefficient, presentmodels that describe the diffusion behavior of typical impurities in silicon and GaAs, and introducesoftware that calculates diffusion profiles under a wide variety of conditions.

3.1 Fick’s Diffusion Equation in One DimensionAny material that is free to move will experience a net redistribution in response to a concentrationgradient. The movement will tend to reduce the size of the gradient. The source of this movement isthe random motion of the material. Since the high concentration region has more impurity atoms,there is a net movement of impurities away from the concentration maximum. This is an effect notlimited to impurities in semiconductors by any means. The basic laws of diffusion introduced hereare used to describe heat transfer, the motion of electrons, gaseous impurities such as air pollution,and even animal population statistics.

Page 3: 03- to edit

The basic equation that describes diffusion is Fick’s first law,

(3.1)

where C is the impurity concentration, D is the coefficient of diffusion, and J is the net flux of mate-rial. The units of J are number per unit time per unit area. The negative sign expresses the fact thatthere is net movement in the direction of decreasing concentration.

While Fick’s first law accurately describes the diffusion process, in this application there is noconvenient way to measure the current density of the impurity. Unlike electrical current, the diffusingmaterial is usually poorly confined and not easily detected. Therefore, a second expression for Fick’slaw has been developed that describes the same concept, but with more readily measurable quan-tities. In developing this expression it is easiest to start with a long bar of material with a uniformcross section A (Figure 3.2). Consider a small volume of length dx, then

(3.2)

where J2 is the flux leaving the volume and J1 is the flux entering the volume. If these two fluxes arenot the same, the concentration of the diffusing species in the volume must change. Recall that thenumber of impurities in this volume element is just the product of the concentration and the differen-tial volume element (A � dx). Then the continuity equation is expressed as

where N is the number of impurities in the volume element, or (3.3)

]C(x, t)]t

� �]J]x

dNdt

� A dx ]C]t

� �A(J2 � J1) � �A dx ]J]x

J2 � J1

dx� J

x

J � �D]C(x, t)

]x

3 Diffusion44

Depth (microns) Depth

Waf

er

Conc

entra

tion

(cm

–3)

1020

1019

1018

1017

1016

1015

1014

1013

0.0

Surface

Junction

Bulkconc

1.51.00.5 2.0

dx

AJ2J1

Figure 3.1 Typical concentration plot of impurities orcarriers as a function of depth into the wafer. Note thatthese profiles are typically much less than 1% of the totalwafer thickness.

Figure 3.2 A differential volume element in a bar ofcross-sectional area A, where J1 and J2 are the flux of animpurity into and out of the volume element.

Page 4: 03- to edit

From Fick’s first law this can be written

(3.4)

Equation 3.4 is the most general representation of Fick’s second law. If the diffusion coefficient isassumed to be independent of position, this reduces to the simpler form

(3.5)

where the position variable has been changed to z to suggest that the direction into the wafer (depth)is the one of primary interest. Finally, in three dimensions for an isotropic medium, Fick’s second lawis expressed as

(3.6)

One is left, then, with the solution of a differential equation that is second order in position andfirst order in time. This requires the knowledge of at least two independent boundary conditions. Thesolution of the differential equation will be discussed later in the chapter. First we will focus on theapplication of Fick’s second law to the problem of diffusion in semiconductors and discuss the fac-tors that determine the diffusion coefficient, D.

3.2 Atomistic Models of DiffusionThis section will discuss the physical mechanisms that determine the diffusion coefficient D. Theargument begins by assuming that the crystal is isotropic. Without this approximation Fick’s secondlaw cannot be applied. Although it allows us to derive solutions to Equation 3.6, it breaks down whenthe concentration of the dopant is large. Then the diffusivity becomes a function of the doping con-centration and therefore depth.

In a crystal, the lattice sites are represented as the minima of parabolic potential wells. Eachatom is at rest only in the limit of 0 K. At nonzero temperatures the atoms oscillate about their equi-librium position. Now insert an impurity atom into this crystal. The atom may sit between lattice sitesin an interstitial position. Typically, atoms that do not bond readily with the matrix material are inter-stitial impurities. These impurities diffuse rapidly, but they do not directly contribute to doping. Asecond type of impurity is one that replaces the silicon atom on the lattice site. These substitutionalimpurities will be the primary focus of the chapter. Table 3.1 lists a number of silicon impurities andcategorizes them into primarily substitutional and primarily interstitial.

Assume that the impurity atom in Figure 3.3A moves one lattice site to the right. By symmetry,no net energy was expended. Yet, for a substitutional atom to move in the crystal, it must have

]C]t

� D�2C

]C(z, t)]t

� D]2C(z, t)

]z2

]C(x, t)]t

� ]]x �D]C

]x�

3.2 Atomistic Models of Diffusion 45

Table 3.1 Silicon impurities

Substitutional P, B, As, Al, Ga, Sb, GeInterstitial O, Au, Fe, Cu, Ni, Zn, Mg

Impurities in silicon tend to reside primarily on lattice sites (substitutional impurities) or primarily in the spaces between thelattice sites (interstitial impurities).

Page 5: 03- to edit

sufficient energy to surmount the potential well inwhich it rests. For the direct exchange shown in Figure3.3A, at least six bonds must be broken for the hostatom and the impurity to exchange positions. This isconsiderably easier, however, if the adjacent lattice siteis occupied by a vacancy (Section 2.4). Then only threebonds must be broken. Vacancy exchange (Figure3.3B) is therefore one of the important diffusion mech-anisms for substitutional impurities.

Fair’s vacancy model has been used to success-fully describe the diffusion of many impurities in lowand moderate concentrations at temperatures below1000�C. It takes the simple picture from Figure 3.3Band adds one additional detail: vacancy charge. Recallthat to fill its valence shell, each atom in the siliconmatrix must form a covalent bond with its four nearestneighbors. In the presence of a neutral vacancy, thesefour atoms are left with an unsatisfied shell. If thevacancy captures an electron, it satisfies one atom’svalence, but becomes negatively charged. Similarly, an

adjacent atom can lose an electron, and the vacancy appears to be positively charged.Since vacancies are very dilute in a semiconductor at typical processing conditions, each of the

possible charged states can be treated as an independent entity. The diffusion coefficient thenbecomes the sum of all possible diffusion coefficients, weighted by their probability of existence. Ifwe assume that the probability of charge capture is a constant, then the number of charged vacanciesis proportional to the ratio [C(z)/ni]j, where C(z) is the carrier concentration, ni is the intrinsic carrierconcentration, and j is the order of the charge state. Then, the most general expression for the totaldiffusion coefficient in the vacancy model is given by

(3.7)

The intrinsic carrier concentration for silicon can be found from [1]

(3.8)

where nio � 7.3 � 1015 cm�3 for silicon and nio � 4.2 � 1014 cm�3 for GaAs. The bandgap can bedetermined by

(3.9)

where Eg0, a, and b are 1.17 eV, 0.000473 eV/K, and 636 K for silicon and 1.52 eV, 0.000541 eV/K,and 204 K for GaAs. The intrinsic carrier concentrations for silicon and GaAs are shown in

Eg � Eg0 �aT(K)2

b � T(K)

ni(cm�3) � nioT(K)3/2e�Eg/2kT

�pni

D� � �pni�

2

D2� � �pni�

3

D3� � �pni�

4

D4�

D � Do � nni

D� � �nni�

2

D2� � �nni�

3

D3� � �nni�

4

D4�

3 Diffusion46

Figure 3.3 Diffusion of an impurity atom by directexchange (A) and by vacancy exchange (B). The latter ismuch more likely owing to the lower energy required.

Page 6: 03- to edit

Figure 3.4. In heavily doped silicon the bandgap isalso reduced by the bandgap narrowing effect

(3.10)

For heavily doped diffusions (C ni) the elec-tron or hole concentration is just the impurity concen-tration. For low concentration diffusions [C(z) �� ni]p n ni. For substrates with excess free electrons(n-type), the positive charge terms in Equation 3.7 canbe neglected, and for substrates with excess free holes(p-type), the negative charge terms can be neglected.Furthermore, the contributions of the third- and fourth-power terms are typically very small and are almostuniversally neglected. If charged vacancies must beconsidered, the electron or hole concentration, andtherefore the diffusivity, is a function of position. Inthat case the simple form of Equation 3.5 cannot beused. Instead Equation 3.4 must be solved numerically.

If very dilute impurity profiles are measuredbefore and after diffusion, a diffusion coefficient can be determined. If this procedure is repeated forseveral temperatures and the logarithm of the diffusivity is plotted against reciprocal temperature inkelvin, an Arrhenius plot will result. The neutral vacancy diffusivity is of the form

(3.11)

where is the activation energy of the neutral vacancy, and is a nearly temperature-independentterm that depends on the vibrational frequency and geometry of the lattice. Table 3.2 summarizes theactivation energies and preexponentials for some common dopants. Notice that the activation ener-gies of all of the neutral vacancies shown in Table 3.2 diffusivities in silicon lie between 3.39 and

DooEa

Do � Dooe

�Ea/kT

DEg � �7.1 � 10�10 eV �n(cm�3)T(K)

3.2 Atomistic Models of Diffusion 47

500 600 700 800 900 1000 11001015

1016

1017

1018

Si

GaAs

1019

1020

Intri

nsic

Car

rier C

onc

(cm

23 )

Temperature ( °C)

Figure 3.4 Intrinsic carrier concentration of silicon andGaAs as a function of temperature.

Table 3.2 Diffusion coefficients of common impurities in silicon and gallium arsenide

Donors Acceptors

Do Ea

As in Si D 12.0 4.05 0.066 3.44P in Si D 44.0 4.37 4.4 4.0 3.9 3.66Sb in Si D 15.0 4.08 0.21 3.65B in Si A 0.037 3.46 0.41 3.46Al in Si A 1.39 3.41 2480 4.2Ga in Si A 0.37 3.39 28.5 3.92S in GaAs D 0.019 2.6Se in GaAs D 3000 4.16Be in GaAs A 7e � 6 1.2Ga in GaAs I 0.1 3.2As in GaAs I 0.7 5.6

From Runyan and Bean [2] and references quoted therein. Donors are labeled with a “D,” acceptors with an “A,” and self-interstitialswith an “I.” All preexponentials are in centimeters squared per second, and the activation energies are in electron-volts.

E�aD�

oE�aD�

oE�aD�

o

Page 7: 03- to edit

3 Diffusion48

Example 3.1

Calculate the diffusivity of arsenic in silicon at 1000�C if the concentration of arsenic ismuch less than the intrinsic carrier concentration and again if the arsenic concentration is 1 �1019 cm�3. For T � 1273 K, kT � 0.110 eV. Then

Solution

According to Figure 3.4 and Table 3.2 the negative one vacancy must be considered for arsenicdiffusion. Then

Recall from elementary semiconductor physics,

This equation has as its two limits, n � ND for ND ni and n = ni for ND ��ni. In this case,ND �� ni, so

Referring to Figure 3.4, at 1000�C, ni � 1019 cm�3. Then if ND is 1 � 1019 cm�3, n � 1.61 �1019 cm�3, and

D � 1.6 � 10�15 � 1.41 � 1019

1019 1.2 � 10�15 � 3.5 � 10�15 cm2/sec

D � Di � D� � 2.8 � 10�15 cm2/sec

n �ND

2� ��ND

2 �2

� n2i

D� � 12e�4.05/0.110 � 1.2 � 10�15 cm2/sec

Di � 0.066e�3.44/0.110 � 1.6 � 10�15 cm2/sec

3.66 eV. This is about an electron-volt greater than the activationenergy of neutral vacancy creation. The additional energy repre-sents the effective barrier to the exchange shown in Figure 3.3B.

A second important mechanism for diffusion in siliconrelies on the presence of silicon self-interstitials. It is referredto as the interstitialcy method (Figure 3.5). In this case, an inter-stitial silicon atom displaces the impurity, driving it into aninterstitial site. From there it moves rapidly to another latticesite, where the silicon atom is removed and becomes an intersti-tial. Interstitialcy is not believed to occur unless vacancy diffu-sion does as well. Boron and phosphorus are two impurities thattend to diffuse by both mechanisms. Either may be dominant

depending on the process conditions. In principle, to find the effective diffusivity for these impuritiesone must add the contributions from both methods.

Some impurities tend to diffuse rapidly through interstitial spaces. There are two mechanismsby which these impurities may return to the lattice; they are summarized in Figure 3.6. In the

Figure 3.5 In interstitialcy diffusion, aninterstitial silicon atom displaces a substitutionalimpurity, driving it to an interstitial site, where itdiffuses some distance before it returns to asubstitutional site.

Page 8: 03- to edit

Frank–Turnbull method the interstitial impurity is captured by a vacancy.In the kick-out mechanism the impurity replaces a lattice atom. These twomechanisms are distinct from the interstitialcy method in that they do notrequire the presence of self-interstitials to drive the process. Impuritiesthat tend to diffuse through this mechanism are characterized by a lowinterstitial solubility to substitutional solubility ratio.

The analytic examples and problems in this chapter will, for themost part, ignore these effects even though they may be important. Theparameters of Table 3.2 were derived by fitting Equation 3.7 to measureddata. This does not mean that vacancy diffusion is the only, or even themost important mechanism for all impurities. It does, however, provide asimple way to estimate diffused profiles for many situations.

By comparing inert, oxidizing, and nitridizing dopant diffusion experiments, some light has beenshed on the processes by which various impurities diffuse [3]. The diffusivity of impurities in a semi-conductor depends on the concentration of vacancies. When a semiconductor is oxidized, a high con-centration of excess interstitials is generated near the oxide/semiconductor interface [4, 5]. The excessconcentration decays with depth due to vacancy/interstitial recombination. Near the surface these inter-stitials increase the diffusivity for boron and phosphorus. It is believed, therefore, that these impuritiesdiffuse primarily by the interstitialcy process. Arsenic diffusivity is found to decrease under oxidizingconditions. An excess interstitial concentration is expected to depress the local vacancy concentration.Arsenic is therefore believed to diffuse primarily via the vacancy mechanism, at least when oxidizingconditions obtain. Experiments can also be done during thermal nitridization. Although this process israrely done in practice, it injects a high concentration of vacancies into the substrate. These results haveconfirmed the conclusions of the earlier results by showing the opposite trends of oxidation.

To be able to predict a dopant profile after a diffusion in an oxidizing ambient, we need to findthe diffusivity under these conditions. Note that, as with high concentration diffusion, the diffusivityis now a function of position. Strictly speaking, therefore, Equation 3.5 is no longer valid. To firstorder, however, dD/dz is small compared to dC/dz and can be ignored. Since the concentration ofexcess interstitials depends on the oxidation and recombination rates, the diffusivity must be a func-tion of the oxidation rate. It has been shown that for diffusion under oxidizing conditions,

(3.12)

where [6]

(3.13)

is the diffusivity enhancement or retardation due to oxidation. The exponent n has been found experi-mentally to be between 0.3 and 0.6. The term a may be positive (for oxidation-enhanced diffusion) ornegative (for oxidation-retarded diffusion).

Thus far only diffusion in one dimension has been discussed. It is assumed that the dopant con-centration is uniform across the wafer and therefore no net diffusion occurs laterally. This is not truenear the edge of diffused patterns, such as under the gate of a MOSFET when the source and drainare being diffused. Diffusion will occur both vertically and laterally (i.e., under the gate). The lateraldiffusion is generally assumed to proceed uniformly. This leads to an effective depletion of dopantnear the edge of the feature, which reduces the junction depth. Experimental verification of lateraldiffused profiles is extremely difficult, since dilute concentrations must be detected in very small vol-umes. For that reason detailed models of lateral diffusion are less well developed.

DD � a�dtox

dt �n

D � Di � DD

3.2 Atomistic Models of Diffusion 49

Figure 3.6 The kick-out (left) andFrank–Turnbull mechanisms (right).

Page 9: 03- to edit

3.3 Analytic Solutions of Fick’s LawReturning to the assumption of a constant diffusivity, Fick’s second law is a simple differential equa-tion that can be solved subject to various boundary conditions. In practice the dopant profiles that areof interest are sufficiently complex, and the assumption that the coefficient of diffusion is constant issufficiently questionable that Equation 3.5 must be solved numerically. There are two sets of bound-ary conditions, however, for which exact solutions can be derived. These solutions can be used todevelop a basic understanding of diffusion processes and as rough approximations of actual profiles.

The first type of solution of Fick’s law occurs when the source is fixed at the surface for alltimes greater than zero. Called a predeposition diffusion, the boundary conditions (one for time andtwo for position) are

(3.14)

The solution for these conditions is given by

(3.15)

In this equation, Cs is the fixed surface concentration and erfc is a function known as the complemen-tary error function. The complementary error function is tabulated in Appendix V and in many mathhandbooks for various values; is a common feature in the solution of diffusion problems and isknown as the characteristic diffusion length.

The dose of the predeposition diffusion varies with the time of the diffusion. To obtain thedose, the profile can be integrated as

(3.16)

This dose is measured in units of impurities per unit area, typically per square centimeter. Since thedepth of the profile is typically less than 1 �m (10�4 cm), a dose of 1015 cm�2 will produce a largevolume concentration (1019 cm�3). Since the surface concentration is fixed for a predeposition dif-fusion, the total dose increases as the square root of the time.

The second type of solution of Fick’s law is called the drive-in diffusion. In this case, an initialamount of impurity QT is introduced into the wafer and diffused subject to the boundary conditionthat QT be fixed. If the diffusion length is much larger than the width of the initial profile, the initialprofile can be approximated as a delta function. Then the boundary conditions are

(3.17)

��

0C(z, t)dz � QT � constant

C(�, t) � 0

dC(0, t)dz

� 0

C(z, 0) � 0, z � 0

� 2��

C(0, t) �Dt

QT(t) � ��

0C(z, t) dz

�Dt

C(z, t) � Cs erfc � z

2�Dt�, t 0

C(�, t) � 0

C(0, t) � Cs

C(z, 0) � 0

3 Diffusion50

Page 10: 03- to edit

The solution to Fick’s second law for these conditions is a Gaussian centered at z � 0:

(3.18)

The surface concentration Cs, decreases with time as

(3.19)

The reader can easily demonstrate that at x � 0, dC/dx is zero for all t � 0. Figure 3.7 shows a plot ofthe predeposition and the drive-in diffusions with as a parameter.

One classic type of problem that uses these two diffusions is a predeposition followed by a drive-in. Recall that one of the boundary conditions for the drive-in was that the initial impurityconcentration was zero everywhere except at the surface. In practice, the drive-in is a good approxi-mation as long as

�� (3.20)

Now assume that boron is diffusing in a silicon wafer that has a uniform concentration of phos-phorus, CB. Also assume that Cs CB. Then, a depth will exist at which the concentration of boronexactly equals that of the background. Since boron is a p-type dopant and phosphorus is an n-typedopant in silicon, a p–n junction will exist at this depth, which is called the junction depth xj. If thediffusion is a drive-in diffusion, one can show from Equation 3.18:

(3.21)xj � �4Dt ln� QT

CB�pDt�

�Dtdrive-in�Dtpredep

�Dt

Cs � C(0, t) �QT

�pDt

C(z, t) �QT

�pDte�z 2/4Dt , t 0

3.3 Analytic Solutions of Fick’s Law 51Co

ncen

tratio

n/C

(sur

face

)

100

10–4

10–5

10–6

10–1

10–2

10–3

10–7

0.0 1.0

0.2 μm0.25 μm

0.5 2.01.5

Dt = 0.15 μm__

Depth (μm) (A)0.0 1.0

0.20 μm

0.25 μm

0.5 2.01.5

Conc

entra

tion/

C(s

urfa

ce)

100

10–4

10–5

10–6

10–1

10–2

10–3

10–7

Dt = 0.15 μm__

Depth (μm) (B)

Figure 3.7 Concentration as a function of depth for (A) predeposition and (B) drive-in diffusions for several values of thecharacteristic diffusion length.

Page 11: 03- to edit

If the diffusion was a predeposition diffusion, from Equation 3.15,

(3.22)xj � 2�Dt erfc�1 �CB

Cs�

3 Diffusion52

Example 3.2

A wafer is heated to 1100�C and exposed to a high concentration source of arsenic. After 5minutes, the wafer is removed from this source, the surface is sealed, and the wafer is annealedat 1200�C for 6 hr. Assume intrinsic diffusion. Find: (a) QT, (b) The final profile, and (c) Thejunction depth if the wafer initially was doped 1 � 1015 cm�3 p-type.

Solution

The initial process is a predeposition. Lacking more information, it is reasonable to setthe surface concentration at the solid solubility. From Figure 2.4, Cs � 2 � 1021 cm�3. FromTable 3.2,

D � 0.066 e�3.44/kT � 12.0 � 1 � e�4.05/kT

since we are assuming intrinsic (n � ni) diffusion.Solving,

D � 3.2 � 10�14 cm2/sec at 1100�C

D � 2.8 � 10�13 cm2/sec at 1200�C

Then

� �1100�C � 0.092 �m �� � �1200�C � 0.78 �m

So we can use the d approximation. For the predeposition,

(a)

(b) The same dose can be used for the drive-in, so

(c) To find the junction depth

XJ � 5.4 �m

XJ � �4 Dt ln � QT

CB�p�Dt�

� 1.5 � 1020 cm�3 e�(z/1.6 �m)2

C �QT

�p �Dte�z2/(2�Dt)2

QT � 2�p

Cs �Dt � 2.1 � 1016 cm�2

�Dt�Dt

Page 12: 03- to edit

3.4 Diffusion Coefficients for Common DopantsIn this section the vacancy and interstitialcy models of diffusion will be applied to describe the diffu-sion coefficients that are appropriate for each of the commonly used impurities. These have beensummarized in Defect and Diffusion Forum [7, 8], with hundreds of references to the diffusion of

common impurities. The diffusivity of boron in siliconhas been measured over a wide range of concentrationsand temperatures [9]. Referring to Fair’s vacancy model,the data can be fit with the intrinsic diffusivity and onlythe first positive vacancy term up to concentrations of1020 cm�3. Above this concentration not all of the boroncan be accommodated into the lattice, and some mustreside on interstitial sites or in cluster precipitates. Thediffusivity of boron in this concentration range is sharplyreduced in crystalline silicon [10], but highly mobile inamorphous silicon [11]. Interstitials play a key role indiffusion in this range [12]. Figure 3.8 shows a typicaldiffused high concentration boron profile.

Arsenic diffuses in silicon via neutral and singlenegatively charged vacancies. Since the diffusivity ofarsenic in silicon is relatively low, arsenic is often chosenas the n-type dopant when minimal dopant redistributionis desired. Typical examples are the source/drain diffu-sion of submicron NMOS transistors and the emitter ofmany bipolar transistors. At low and medium concentra-tions the diffusivity is well described by simple intrinsicdiffusion.

At high concentrations the diffusivity of As is clearly dependent on concentration. Some evi-dence exists to suggest that As combines with vacancies, perhaps as a VAs2 cluster, and that theseeffects are time dependent [13]. In any case, the resultant diffusion profiles are significantly differentfrom simple constant diffusivity predictions.

Arsenic also tends to form interstitial clusters at concentrations in excess of 1020 atoms/cm3

that resist electrical thermal activation. This effect also tends to flatten the top of high concentrationcarrier profiles. During a high temperature anneal the cluster concentration moves toward a thermalequilibrium with substitutional arsenic. The maximum carrier concentration is given by

(3.23)

The relative concentrations are therefore determined by the anneal temperature. The clusters are notbelieved to be mobile. Instead, the arsenic atoms move individually.

Phosphorus diffuses much more rapidly than arsenic. Its application to the technology of ultralarge scale integration (ULSI) is limited primarily to wells (or tubs) and isolation, although its higherdiffusivity is helpful in reducing the peak electric field in MOS transistors (see Chapter 16). For com-pleteness however, high concentration phosphorus profiles will be described. Figure 3.9 shows a highconcentration phosphorus diffusion. The profile consists of three regions: the high concentrationregion, the low concentration region, and the transition or “kink” region [14]. Near the surface theconcentration is nearly constant. In an early, influential model, the diffusivity in this region wasbelieved to have two components: Di, the normal phosphorus atom neutral vacancy exchange, and

Cmax � 1.9 � 1022 cm�3 e�0.453/kT

3.4 Diffusion Coefficients for Common Dopants 53

Depth (μm)

Conc

entra

tion

1020

1018

1017

1019

1016

0.0 0.20.1

Increasing time

0.40.3

Figure 3.8 Typical profile for a high concentrationboron diffusion.

Page 13: 03- to edit

, which corresponds to positively charged phosphorus ions paired with double negatively chargedvacancies to form single negatively charged pairs (PV)�:

(3.24)

Near the kink region the electron concentration falls sharply. Most of the ion vacancy pairs disso-ciate, and the diffusion of unpaired phosphorus ions continues into the substrate. The dissociation ofthe (PV)� pairs causes an excess vacancy concentration, which also increases the diffusivity in the tailregion. More recent work suggests that, like boron, phophorus has a significant interstial-dependentcontribution to the diffusivity [15], with self-interstitials predominating in the kink region and P inter-stitials dominating in the tail. The changeover from vacancy-dominant to kick-out dominant diffusionis responsible for the kink.

Gallium arsenide must be heavily doped for certain optoelectronic devices and for formingohmic contacts. Diffusion in GaAs is significantly more complicated than in silicon. It depends notonly on the charge state of the vacancy and interstitial, but whether it is a gallium vacancy (VGa) or anarsenic vacancy (VAs). The neutral vacancy diffusion coefficients for several common GaAs dopantsare given in Table 3.1. This section will present the diffusion mechanisms of two of the primary GaAsdopants: zinc and silicon.

Zinc is a commonly used p-type dopant in GaAs technology. Figure 3.10 shows a series of pre-deposition diffusions of zinc into GaAs at 600�C for various times [16]. For low concentrations, thediffusion can be fit to a simple diffusivity. Values in the literature vary significantly, with valves of Ddisagreeing by 6 orders of magnitude at the same temperature [8]. At high concentrations, the diffu-sions show a broad, flat plateau and a sharp exponential tail. The plateau is limited by the solid solu-bility. An early model for the diffusion of zinc developed by Weisberg and Blanc [17] has twocomponents. The first is the standard vacancy method of Fair, as described earlier in this chapter. Thesecond, which proceeds much faster, is the Frank–Turnbull or substitutional interstitial (SI) process

DPh � Di � D2�i �n

ni�2

D�i

3 Diffusion54Co

ncen

tratio

n

0.80 0.2 0.4 0.6Depth (μm)

1020

1018

1017

1019

1016

Figure 3.9 Typical profile for a high concentrationphosphorus diffusion.

1017

1016

1018

1019

1020

1021

Hole

con

cent

ratio

n (c

m–3

)

0.2 0.4 0.6 0.8 1.00Depth (μm)

A B C

Figure 3.10 Predeposition diffusions of zinc into GaAs at600�C for 5, 20, and 80 min (after Field and Ghandi, usedwith permission, Electrochemical Society).

Page 14: 03- to edit

(Figure 3.6). In this process, zinc atoms exist in two forms: a small concentration of positivelycharged interstitial ions Zn�, which diffuses rapidly, and a substitutional ion Zn� that diffuses muchmore slowly by neutral vacancy exchange. While multiple positive states may participate in the inter-stitial diffusion, it is believed to be dominated by the singly charged ion.

In this model the Zn� diffuses rapidly until it encounters a VGa. It becomes trapped at this loca-tion, gives up two holes, and becomes Zn�, which markedly reduces its diffusivity. At high concen-trations this process results in a concentration dependent diffusivity of the form

(3.25)

where Cs is the concentration of substitutional zinc and A is a constant [18]. Thus the diffusioncoefficient remains large until the concentration begins to drop. At this point, the diffusivity alsodrops, further sharpening the profile edge.

This model fails to describe a kink behavior often seen in the tail of the zinc profile. Kahen [19]modified the model to include the possibility of multiple charge states associated with the galliumvacancy. The author also included the possibility of the formation of a paired state between thesubstitutional negatively charged zinc ion and the interstitial positively charged zinc ion. The mech-anism greatly reduces the diffusivity of the zinc. The model closely follows the kink behavior over awide range of process conditions (see Figure 3.11).

One of the most common n-type dopants in GaAs is silicon (Si). Silicon is a group IV elementand may be either a p-type or an n-type dopant, depending on the lattice site that it occupies. The car-rier concentration then is the difference between the concentrations of silicon that reside on the twotypes of lattice sites. When this difference is small compared to the individual components, the semi-

conductor is said to be highly compensated. At highconcentrations the diffusivity of silicon is concentra-tion dependent [20, 21]. Greiner and Gibbons [20] pro-posed an SiGa–SiAs pair diffusion model in which a pairof impurities on adjacent (opposite type) lattice sitesexchanges position with a pair of adjacent vacancies.This is believed to occur in a two-step process. In thistype of process the semiconductor can be highly com-pensated, since the dopant atoms occupy both sites.The diffusivity then increases linearly with the dopantconcentration.

The pair diffusion model has not been able toexplain the diffusion results observed under rapid ther-mal annealing conditions (see Chapter 6). Furthermore,the model has been unable to reproduce the effects ofsubstrate doping on the diffused profile. A more com-plete steady state model that takes into account chargeeffect, has been proposed by Yu et al. [22]. In thismodel, diffuses by exchange with an unchargedor triply negatively charged gallium vacancy. The dif-fusion mechanism of the atom is unknown but isassumed to be independent of the gallium atom.Coupled pairs of SiGa–SiAs are assumed to be immo-bile. Kahen et al. [23] have applied an modelto fit experimental data, also with good results,

SiGa�/VGa�

SiAs�

SiGa�

DZn AC 2s

3.4 Diffusion Coefficients for Common Dopants 55

1016

1017

1018

1019

1020

Conc

entra

tion

(cm

–3)

0.3 0.6 0.9 1.2 1.5

RTA, 300.0s

850°C

800°C

0Depth (μm)

Figure 3.11 Comparison of the multiple charge model forzinc diffusion and experimental results (after Kahen, usedwith permission, Materials Research Society).

Page 15: 03- to edit

although background doping was not considered. Other models suggest that the double negative Gavacancy is the diffusion partner and Dsi �(n/ni)2 [24]. Figure 3.12 shows the results of Kahen et al. Inagreement with this model, Sudandi and Matsumoto showed that the diffusivity of silicon decreasessharply in Ga-rich LEC material where the concentration of gallium vacancies is expected to be low[15].

3.5 Analysis of Diffused ProfilesOnce an impurity has diffused, it is desirable to be able to measure the impurity concentration as afunction of depth and position. There are many techniques for obtaining depth profiles, but lateralprofiles are much more difficult to obtain. The simplest technique for obtaining information about theprofile is to measure its sheet resistance. Instead of a concentration profile however, only a singlenumber

(3.26)

is obtained, where Ce(z) is the carrier concentration, �(C) is the concentration-dependent mobility,and Rs is called the sheet resistance. As discussed in Chapter 1, Rs is quoted in units of ohms persquare (�/▫). Sheet resistance measurements are quick and easy to perform and give the processengineer useful information, particularly if a standard or target sheet resistance is known.

The sheet resistance can be measured in a variety of ways. The simplest is the use of afour-point probe (Figure 3.13A). Four-point probes are available in several geometries; the mostcommon is collinear. In this case, current is passed between the two outer probes and the voltage ismeasured across the inner pair. The sheet resistance is found by measuring the ratio of the voltagedrop to the forced current. The result is multiplied by a geometric correction factor that depends onthe probe geometry and the ratio of the probe spacing to the thickness of the diffusion [26, 27]. Forcollinear probes, where the probe spacing is much larger than the junction depth, the factor is 4.5325[28]. For this method to be useful in characterizing diffused profiles in semiconductors, the underly-ing substrate must be insulating or must be of much higher resistivity than the layer to be measured,or the layer to be measured must form a reverse-biased diode with the substrate. In the latter case,

Rs � [q �(C)Ce(z) dz]�1

3 Diffusion56

1018

1017

1019

1020

1021

Atom

s(c

m–3

)

400 800 1200

10.0 s

1000°C

980°C940°C

1600 2000 2400 2800 32000Depth (Å)

1018

1017

1019

1020

1021

Atom

s (c

m–3

)

400 800 1200

3.0 s1050°C

1600 2000 2400 2800 32000Depth (Å)

(A) (B)

Figure 3.12 Diffusion of silicon in GaAs (after Kahen et al., used with permission, Materials Research Society).

Page 16: 03- to edit

very shallow junctions can be penetrated with the probe if excessive force is used. Furthermore, thesheet resistance measurement will include the effect of the depleted region near the junction.

A second technique for measuring the sheet resistance is the Van der Pauw method [29]. Themeasurement is again done by contacting the edge of a sample in four places. A current is forcedbetween one pair of adjacent contacts and the voltage is measured across the other pair (Figure3.13B). To improve the accuracy, the probe connections are rotated 90� and the measurementrepeated three times. Then the average resistance is calculated:

(3.27)

and

(3.28)

where F(Q) is a correction factor that depends on the probe geometry. For a square, F(Q) � 1. In thistechnique, one must be careful to correctly measure the geometry. If a square sample is assumed, thecontacts must be made on the sides of the sample [30]. This can be done by breaking off a piece ofthe wafer in the shape of a square and making ohmic contacts; however, it is more commonly doneby photolithographically patterning a Van der Pauw structure, using oxide or junction isolation torestrict the diffusion geometry.

The sheet carrier concentration can also be combined with a measurement of the junction depthto provide a more complete description of the diffused profile. For deep junctions, this can be accom-plished by beveling the wafer (see spreading resistance measurements) or by mechanically abrading agroove of known diameter in the surface of the wafer. The wafer is then immersed in a stain solution(Figure 3.14). The etch rate of the solution depends on the carrier type and concentration. P-type sili-con etched in a 1 : 3 : 10 mixture of hydrofluoric acid (HF), nitric acid (HNO3), and acetic acid(C2H4O2) will turn dark. A stain used for GaAs is a 1 : 1 : 10 mixture of HF, hydrogen peroxide

Rs � pln(2)

F(Q)R

R � 14 �V12

I34�

V23

I41�

V34

I12�

V41

I23�

3.5 Analysis of Diffused Profiles 57

I

V

Wafer

(A)

I

V

(B)

Figure 3.13 The four-point probe (A) and Van der Pauw (B) methods for determiningthe resistivity of a sample.

Page 17: 03- to edit

(H2O2), and water. In this case, the sample must be exposedto a bright light. The width of the stained region is meas-ured after staining using an optical microscope with cali-brated eyepieces. The junction depth can be determinedfrom the known geometry of the bevel or groove.Limitations of accuracy and reproducibility prevent stainingfrom being useful for junctions less than 1 �m deep. As aresult, this method is much less popular than it once was.

A limitation of sheet resistance methods is that someknowledge of mobility is required to obtain even an inte-grated carrier concentration. The Hall effect (Figure 3.15)can be used to directly measure the integrated carrier concen-tration. In this measurement, a current flow in the diffusedlayer is also subjected to a magnetic field that is perpendicu-lar to the flow. If one assumes that only holes are present inthe diffusion, there will be a Lorentz force on each hole

(3.29)

Holes will be deflected by this force until the component ofthe field perpendicular to both the current flow and the fieldis large enough to equal the Lorentz force:

(3.30)

The establishment of this electric field is known as the Hall effect, and the resultant voltage is theHall voltage,

(3.31)

where w is the width of the diffusion. The drift velocity of the hole can be related to the current by

(3.32)

where

(3.33)

Solving for the integrated carrier concentration,

(3.34)

This result can also be used to find the average Hall mobility of thesample if the four contacts are also used for a Van der Pauw measurement.Then the average Hall mobility is given by

(3.35)� � 1qxjCeRs

� xj

0Ce dx � xjCe �

IxBx

qVh

Ce � 1xj� xj

0Ce dx

yx �Ix

qwxjCe

Vh � yxBzw

�y � yxBz

F � qyxB

3 Diffusion58

Grinding wheel

Groove wafer

Doped layer

Substrate

After selective etch

Doped layer

SubstrateSubstrate

V

I

B

e–

Figure 3.14 In junction staining, a cylinder is used togroove the wafer. A doping-sensitive etch thenremoves part of the top layer. The junction depth canbe found from the known diameter of the cylinder andthe measured width of the lower abraded groove.

Figure 3.15 The Hall effect is ableto simultaneously measure the carriertype, mobility, and sheetconcentration.

Page 18: 03- to edit

For a diffused profile, this average mobility is of little interest; however, the Hall mobility is anoften-quoted figure of merit for the quality of an epitaxial layer with a nominally uniform concentration.

All of the preceding techniques have a serious limitation: they provide information only aboutthe integral of the profile. Several methods can be used to measure the carrier concentration as a func-tion of depth. The first uses the capacitance–voltage characteristic of a diode (pn junction orSchottky) or an MOS capacitor. Although the MOS technique is widely used, it is more difficult toderive and requires a low interface state density at the Si/SiO2 interface to be reliable. The diodemethod will be assumed here, but the techniques are very similar.

Assume that the structure can be described in the depletion approximation. For a one-sided stepjunction or a Schottky contact, the depletion width is given by

(3.36)

where is the dielectric constant of the semiconductor,Vbi is the built in voltage of the diode, Nsub isthe substrate doping concentration, and V is the externally applied voltage. The capacitance of thediode is

(3.37)

Differentiating with respect to voltage and solving for the impurity concentration,

(3.38)

To measure the substrate doping then, one needs to measure the capacitance in depletion as a func-tion of the applied voltage and find the first derivative. The doping concentration as a function ofvoltage for each data point can be determined using Equation 3.39, and the depth corresponding tothat point may be found using Equation 3.37.

The C–V method has several significant limitations. The first is that impurity concentrationsin silicon above 1 � 1018 cm�3 cannot be measured. At these concentrations, the semiconductorbecomes degenerate and acts more like a metal than a semiconductor. The second is that the depletionedges are not abrupt. Instead, they are graded over a few Debye lengths, where

(3.39)

Consequently, abrupt doping profiles are not well described by their carrier profiles. Finally the C–Vtechnique can profile only to the depth corresponding to breakdown voltage in Schottky diodes orinversion in MOS capacitors.

Several quantitative 2-D dopant profiling techniques are being developed, including nanospread-ing resistance and advanced dopant-sensitive etch systems. Perhaps the most promising is scanningcapacitance microscopy (SCM) [31]. The SCM technique uses an atomic force microscope to scan aconducting tip over a sample. Typically the sample is cleaved and measured edge-on. The conductive

LD � � ´kTq2Csub

Nsub(z) �8(V � Vbi)

3

A2q´ �dC(z)dV �

2

C � A´W

� � A2q´Nsub

2(V � Vbi)

´

W � �2´(Vbi � V )qNsub

3.5 Analysis of Diffused Profiles 59

Page 19: 03- to edit

tip is used to measure the capacitance in inversion. This can be readily converted to dopant concentra-tion under the tip. Typical capacitances are less than 1 pF [32]. Although calibration is challenging,excellent quantitative agreement is possible.

The next approach to electrically profiling the carrier concentration, called spreading resistanceprofilometry, uses the dependence of the current crowding near a point contact on the local carrier con-centration. Figure 3.16 shows a typical spreading resistance measurement. The sample is first beveledat a shallow angle by grinding and lapping. It is mounted in a chuck, and a pair of probes are placedin contact with the surface with a predetermined force. Thin asperities of the probe are believed to

3 Diffusion60

1017

1016

1015

1014

1018

1019

1020

Carr

ier c

once

ntra

tion

(cm

–3)

0.20.0 0.4 0.6 0.8

NNNNNNNN

p

p

p

p

p

p

p

pp

ppp

ppppppp p

N N NN N NN N NN NN N N N N

1.0 1.2Depth (μm)

Figure 3.16 Typical spreading resistance profile showing measured carrier concentration as afunction of depth (used with permission, Solecon Labs).

Page 20: 03- to edit

penetrate the semiconductor surface to a depth of order tens of angstroms. The current is crowded intothe asperity, leading to a finite resistance between the probes. If this resistance is compared to acalibration standard of known concentration, methods exist [33] to deconvolute the resistivity to givecarrier profiles. The technique can be used to measure profiles ranging from 1013 to 1021 cm�3.

There are three primary limitations to spreading resistance measurements. The measurementdepends critically on the reproducibility of the point contact. The probe tips must be carefully condi-tioned [34], and calibration standards must be run often. The measurement accuracy thereforedepends on the level of experience of the operator. Commercial laboratories claim an accuracy of afactor of 2 over most ranges of doping. The second limitation of spreading resistance measurementsis near-surface measurement. It is difficult to accurately produce a very flat shallow angle (�0.5�).Furthermore, it is often a matter of judgment as to where the surface begins unless there is an insula-tor on the surface. Measurements below 500 Å are not generally regarded as reliable. The final limita-tion to spreading resistance measurements is the materials to which it can be applied. The sample isassumed to be similar (i.e., having the same dependence of mobility on doping) to the calibrationstandards. This is not always the case, particularly for compound semiconductors. Furthermore,GaAs undergoes significant band bending near the surface. As a result, spreading resistance is notgenerally done in this system.

Various approaches to electrochemical profiling have also been established [35]. These involveelectrochemically etching the wafer, measuring the capacitance and/or resistivity, and then repeatingthe process. By measuring the change in the capacitance or the conductivity as a function of etchtime, a profile of the carrier concentration can be obtained. These methods are used widely for III–Velectrical depth profiling.

A variety of techniques exist to measure chemical concentrations in thin films. To be useful inevaluating diffused impurities in semiconductors, however, the technique must be sensitive to con-centrations of at least 1 ppm and ideally 1 ppb. This requirement leaves only one popular approach:secondary ion mass spectroscopy (SIMS).

Figure 3.17 shows a typical SIMS arrangement. The sample to be tested is loaded into theinstrument and the system is pumped to ultrahigh vacuum (usually about 1 � 10�9 torr). The sample isthen exposed to a beam of ions with an energy of between 1 and 5 keV. The energetic ions strike thesurface of the sample, where they destroy the crystal lattice and eject material through a process known

as sputtering. (The use of sputtering as a thin filmdeposition process will be discussed in Chapter 12.)A fraction of the ejected material is ionized, collected,and accelerated toward a mass spectrometer. Eithernegative or positive ions can be collected. The instru-ment can be run in a static mode with a slow sputterrate to survey the elements in the layer. The sputterrate can also be increased, and the concentration ofseveral impurities can be measured as a function ofdepth.

If the sputter rate for the substrate is known forthe incident ion and ion energy, or if it can be meas-ured after the sputter is complete, this raw data ofcounts versus sputter time can be transformed intocounts versus depth. Frequently this is done by meas-uring the depth of the sputtered crater after the SIMSdata have been collected. Converting the counts datainto chemical species concentrations is much more

3.5 Analysis of Diffused Profiles 61

Amplifier

High vacuum chamber

Wafer

Secondaryions

AcceleratinggridIon source

Mass

filter

Massspec

Detector

Figure 3.17 A typical SIMS arrangement. The sample isbombarded by high energy ions. The sputtered material ismass-analyzed to determine the composition of the substrate.

Page 21: 03- to edit

difficult. The sputtering yield, the collection efficiency, the ionization efficiency, and the detectorsensitivity are only approximately known and vary from day to day and run to run. The current bestpractice for reducing SIMS data is to run a calibration sample for each impurity immediately beforeand/or after the sample being measured. Implanted samples (see Chapter 5) are often used, since boththe concentration and depth of the profile are well known. Even with this procedure, SIMS accuracyis at the very best about a factor of 2.

SIMS sensitivities vary widely according to the instrument and the technique being used. EarlySIMS systems used Ar� beams. These beams have low ionization efficiencies for most impurities ofinterest for microelectronics applications. Reactive beams such as cesium and oxygen can producesensitivities that are several orders of magnitude better. Furthermore, conditions used to optimizepositive ion production generally will not be sensitive to impurities that tend to form negative ions.To obtain a complete inventory of the impurities present, at least two runs must be made. Under opti-mum conditions the sensitivities that can be expected from commercial laboratories are listed inTable 3.3.

Two problems with SIMS analysis are the time and cost of the profile. The sample must bepumped to high vacuum. Since the sample must be sputtered away during the analysis, the data col-lection is limited by the erosion rate. Samples thicker than 1 �m may take 4 to 8 hr to profile. Abruptinterfaces are also difficult to measure, particularly if they are buried. The sample erosion does notoccur one atomic layer at a time; instead, the sample becomes progressively less planar. Combinedwith “knock on,” the tendency of the incident ions to redistribute the impurities, the analysis of sharpconcentration profiles can be significantly comprised. SIMS is nevertheless, a powerful and widelyused tool.

3.6 Diffusion in SiO2In early fabrication technologies silicon dioxide (SiO2) was frequently used as a mask for ion implan-tation. In current technologies, SiO2 is usually used for its insulating properties. Silicon dioxide is awide bandgap insulator and not a semiconductor, and thus unlike in silicon, the presence of impuri-ties at concentrations in the ppm range in SiO2 is generally believed to have little effect on the proper-ties of the film. As a result, diffusion in SiO2 has not been as extensively studied. When it has beenstudied, the work usually involves implantation of an impurity into a thick layer of SiO2, followed bya thermal anneal and a measurement of the chemical profile of the impurity, and finally a derivation

3 Diffusion62

Table 3.3 Typical commercially available SIMS detection limits in single-crystal semiconductor samples

Impurity Beam Type Detection Limit

Boron in silicon O2 � 1 to 5 � 1014 cm�3

Arsenic in silicon Cs � 5 � 1014 cm�3

Phosphorus in silicon Cs � 1 � 1015 cm�3

Oxygen in silicon Cs � 1 � 1017 cm�3

Carbon in silicon Cs � 1 � 1016 cm�3

Silicon in GaAs Cs � 1 � 1015 cm�3

Magnesium in GaAs O2 � 1 � 1014 cm�3

Beryllium in GaAs O2 � 5 � 1014 cm�3

Oxygen in GaAs Cs � 1 � 1017 cm�3

Carbon in GaAs Cs � 5 � 1015 cm�3

Data courtesy Mr. Charles McGee, Charles Evans and Associates.

Page 22: 03- to edit

of a diffusion coefficient. Typically the data are taken at several temperatures to extract an activationenergy. The classic reference for this type of work is Ghezzo and Brown [36], who summarized avariety of earlier references that are primarily from the 1960s. There is little agreement in the litera-ture, particularly for values of Do. Representative values from their summary are given in Table 3.4.

More recently, the diffusion of impurities in SiO2 has become a topic of extreme interest. Thisis due to the use of heavily doped polycrystalline silicon (poly) as the gate electrode for MOS transis-tors, as will be described in Chapter 16. The poly is doped with phosphorus for NMOS devices andboron for PMOS devices. To get the best possible performance in the device, these gate electrodes aredoped as heavily as possible. Furthermore, the grain boundaries in the poly allow for rapid diffusionto the poly/SiO2 interface. Since the thickness of the gate oxide in current-generation MOS devices is2 nm or less, this represents an enormous concentration gradient. Diffusion of these impuritiesthrough the gate oxide will shift the device threshold voltage and may affect the properties of theoxide, particularly as related to charge trapping and reliability [37]. This effect is commonly knownas boron (or phosphorus) penetration.

Boron penetration has been heavily studied and is a major concern for small CMOS devices.Boron is believed to diffuse substitutionally for the silicon atom [38]. It has been found that whenimpurities diffuse through a thin layer such as a gate oxide, they move with a diffusion coefficientthat is much larger than the values found from implanting boron into thick films of SiO2. This effecthas been found to be related to the high concentrations of boron used in the gate electrode, whichleads to a 10� increase in diffusivity under realistic processing conditions. Values of 0.18 cm2/secand 3.82 eV for the prefactor and activation energy have been suggested [39]. The effect of the segre-gation coefficient (see Chapter 4) further increases the concentration of the boron in the oxide, whichmay be as much as several percent. The high boron concentration leads to a softening of the oxide,which increases the diffusivity [40]. The increase in diffusivity with boron concentration leads to aloading of boron near the gate electrode and a profile qualitatively similar to that of As in Si.Uematsu has also proposed that the silicon interface is a source of dissolved SiO, which diffusesslowly through the oxide and increases the boron diffusivity [41].

The diffusion of phosphorus in SiO2 is considered to be a less severe problem than the diffusionof boron, but, has also been studied [42]. Evidence exists that phosphorus dissolves into the SiO2

interstitial locations as P2, becomes substitutional by replacing Si, and thereafter diffuses on the Sisites [43].

The diffusion of these impurities in silicon dioxide has been found to be affected by the pres-ence of high concentrations (1%) of impurities. In particular, the incorporation of fluorine is knownto increase the diffusivity of both boron and phosphorus. For boron the effect can be as much as anorder of magnitude [44]. Hydrogen is also known to increase boron diffusivity. Of particular techno-logical interest, however, are impurities that can reduce impurity diffusion. Nitrogen has been foundto be particularly effective at this [45]. It is believed that substitutional diffusion of B and P requires

3.6 Diffusion in SiO2 63

Table 3.4 Diffusivity of various impurities in SiO2

Element Do (cm2/sec) Ea (eV) Cs (cm�3) Source

Boron 3 � 10�4 3.53 �3 � 1020 BorosilicatePhosphorus 0.19 4.03 8 � 1017 to 8 � 1019 PhosphosilicateArsenic 250 4.90 1 to 6 � 1019 ArsenosilicateAntimony 1.31 � 1016 8.75 5 � 1019 Sb2O5 vapor

Data for boron and phosphorus from Ghezzo and Brown [36].

Page 23: 03- to edit

a rearrangement in the local bonding structure from silicon bonding covalently to four nearest-neighboroxygen atoms, to boron bonding covalently to three nearest-neighbor oxygen atoms or phosphorusbonding covalently to three nearest-neighbor oxygen atoms and forming a double bond to the fourthoxygen atom. The presence of nitrogen is believed to block this rearrangement [46]. The concentrationof nitrogen required increases as the gate oxide thickness decreases. For deeply scaled (�100 nm)devices with gate oxide thicknesses of about 1.5 nm, one would like nitrogen concentrations of about10%. Furthermore, this nitrogen should be near the poly gate, both to block the diffusion of boroninto the gate oxide and to keep it as far away from the lower interface as possible, since it degradesthe electrical properties of the Si/SiO2 interface.

3 Diffusion64

Example 3.3

Assume that the values given in the text are a reasonable approximation for the diffusivityof boron in SiO2. If the concentration of boron at the surface of a 2nm oxide is 1021 cm�3, findthe flux of boron into the substrate for a one-minute anneal at 1000�C.

Solution

From Fick’s first law

From the text,

For a one-minute anneal,

This is a very large dose that will readily shift the device operation.

QT � 4 � 1013 cm�2

QT � J � 60 sec � 1.4 � 10�16 cm2/sec � 5 � 1027 cm�4 � 60 sec

D � 0.18 cm2/sec e�3.82/kT � 1.4 � 10�16 cm2/sec

J � �D dcdx

D 1021�02 nm

3.7 Simulations of Diffusion ProfilesIt has probably become apparent to the reader that the various complications that arise in the calcula-tion of diffused profiles, such as concentration-dependent diffusivities, preclude analytic calculationsfor all but the simplest examples. For that reason, numerical methods have been developed for theprediction of profiles in 1-, 2-, and 3-D. Often the results can be linked to device simulators so thatthe effect that a change in an impurity profile would have on the device characteristics can be pre-dicted in a straightforward manner. If the simulation results are accurate, the device designer canoptimize performance and test process sensitivity with far fewer runs through the fabrication facility,resulting in tremendous savings in cost and time.

While some corporations have developed proprietary software, one of the most popular packagesfor calculating impurity profiles is the Stanford University PRocess Engineering Module (SUPREM).SUPREM III performs detailed calculations in 1-D. SUPREM IV performs calculations in 2-D.

Page 24: 03- to edit

The outputs of these programs are the chemical, carrier, and vacancy concentrations as functions ofdepth into the semiconductor.

Before proceeding, however, a word of caution is in order. Students tend to regard the output ofthese programs as being infallibly correct. This is, of course, not true. The predictions of this code areonly as good as the models and numerical techniques that are employed. In practice these modelparameters must be rigorously checked to ensure accuracy. These programs should be regarded ascalculation tools that allow the process engineer to access more complicated diffusion models. Thesemodels are also more realistic and usually, but not always, give fairly accurate results.

All diffusion process simulators are built on three basic equations. In 1-D these are the fluxequation

(3.40)

where Zi is the charge state and �i is the mobility of the impurity; the continuity equation

(3.41)

where Gi is the generation recombination rate of the impurity; and Poisson’s equation

(3.42)

where is the dielectric constant, n and p are the electron and hole concentrations, and and are the concentrations of the ionized donors and acceptors. These equations are solved simultan-eously over a 1-D grid that the user defines.

The diffusivity used for SUPREM is based on the vacancy model of Fair. The diffusivity iscalculated using Equation 3.7. The values of Ea and Do are included in a software look up table forboron, antimony, and arsenic in silicon. Finally, empirical models are added to take into accountfield-aided, oxidation-enhanced, and oxidation-retarded diffusion.

The examples in the book will use a set of software programs collectively called ATHENA© andmarketed by Silvaco© (www.silvaco.com). This choice was made for three reasons: (1) The Silvacocode is built, in part, on Stanford’s SUPREM IV software, which has a long and rich history; (2) theSilvaco code is a widely used TCAD (technology computer-automated design) suite in industry; and(3) Silvaco offers deep discounts for educational use and the educational software runs well on conven-tional PCs. For students who do not have access to this software, a parallel set of examples are plannedfor use on the NSF-sponsored Nanohub website (http://www.nanohub.org). These tools are availableover the Web free of charge. See this book’s website (http://www.oup-usa.org/isbn/01951360SS.html)to access this Nanohub-compatible examples.

To run the software, an input deck must be provided (see examples below). This file contains aseries of comments, the initialize statement, materials statements, process statements, and outputstatements. It is constructed with the program deckbuild. The deck starts with the title card, which issimply a comment repeated on each page of the output. Several comment cards may follow. The useris encouraged to use these cards to document the process flow.

The next set of lines determines the simulation grid. Since we are only interested in the verticaldirection, a very rudimentary grid can be set in the horizontal direction (line � commands). This willsave computation time. Next the background concentration of the wafer is set to be able to determinejunction depth. The adapt command allows the software to adapt the grid according to the doping

N�AN�

ddx

[´�] � q(p � n � N�D � N�

A )

dCi

dt�

dJi

dx� Gi

Ji � �Di

dCi

dx� Zi�iCi�

3.7 Simulations of Diffusion Profiles 65

Page 25: 03- to edit

profile. Next the diffuse command is invoked. The concentration of phosphorus at the surface of thewafer is given by c.phos. Since the diffusion ambient is not specified, it is assumed to be inert. Oncethis is done, the software can extract physical and electrical information about the impurity profile,including the junction depth and sheet resistance. For these commands, we want the first occurrenceof the material silicon (there is only one), and we want the sheet resistance of the uppermost region,which was doped n-type by the diffusion. Finally, the solution is saved, and the postprocessing soft-ware, Tonyplot is invoked.

3 Diffusion66

Example 3.4

Use Silvaco’s Athena software to create a quasi-one-dimensional grid and do a subsequentsolid source phosphorus predeposition diffusion.

Solution

go athena#TITLE: Solid Source Phosphorus Diffusion Example 3.2

line x loc=0.0 spacing=0.02 line x loc=0.2 spacing=0.02line y loc=0.0 spacing=0.02line y loc=0.1 spacing=0.02line y loc=0.4 spacing=0.04 line y loc=0.8 spacing=0.06line y loc=1.5 spacing=0.10

init c.boron=3e14method adapt

# Diffuse Phosphorusdiffuse time=60 temp=1000 c.phos=1e20

# extract junction depthextract name="xj" xj material="Silicon" mat.occno=1 x.val=0.1junc.occno=1

#extract 1D electrical parametersextract name="sheet_rho" n.sheet.res material="Silicon"mat.occno=1 x.val=0.1 region.occno=1

# Save and plot the final structurestructure outfile=ex3_4.strtonyplot

Page 26: 03- to edit

Upon running, the solution given is:

EXTRACT> extract name=”xj” xj material=”Silicon” mat.occno=1x.val=0.1 junc.occno=1xj=0.566734 um from top of first Silicon layer X.val=0.1EXTRACT> #extract 1D electrical parametersEXTRACT> extract name=”sheet_rho” n.sheet.resmaterial=”Silicon” mat.occno=1 x.val=0.1 region.occno=1sheet_rho=36.7657 ohm/square X.val=0.1

and the Tonyplot result is shown in Figure 3.18. The software can be used to zoom in or to show a 3-D contour plot, which for this structure is rather uninteresting.

3.7 Simulations of Diffusion Profiles 67

Example 3.5 Predeopsition diffusion, followed by a drive-in

go athena#TITLE: Phosphorus Predep Followed by Drive-in DiffusionExample 3.3

line x loc=0.0 spacing=0.02line x loc=0.2 spacing=0.02line y loc=0.0 spacing=0.02line y loc=0.1 spacing=0.02line y loc=0.4 spacing=0.04 line y loc=0.8 spacing=0.06line y loc=1.5 spacing=0.10

init c.boron=3e14method adapt

# Diffuse Phosphorusdiffuse time=60 temp=1000 c.phos=1e20

# Grow pad oxide, 400A.Diffuse Temperature=1000 Time=17 DryO2

# Deposit 800A of CVD Nitride.Deposit Nitride Thickness=.0800 Spaces=15

# Perform drive-in diffusionDiffuse Temperature=1000 Time=180

# extract junction depth and nitride and oxide thicknessextract name="xj" xj material="Silicon" mat.occno=1 x.val=0.1junc.occno=1

Page 27: 03- to edit

3 Diffusion68

This simple case (Example 3.4) is followed by aslightly more advanced example in Example 3.5. Here thepredeposition is followed by a drive-in diffusion. Note thatthe role of sealing the interface, which is necessary for adrive-in, has been done by the addition of a thin layer of sili-con nitride. As will be discussed later in the book, nitride isa good diffusion barrier; that is, many materials includingphosphorus, have a low diffusivity in silicon nitride. Theoxide, which is grown before the nitride deposition, is apractical matter, since the mismatch in the thermal expan-sion coefficients of nitride and silicon would lead to defectformation upon thermal cycling.

The results are given as follows:

EXTRACT> extract name=”xj” xj material=”Silicon” mat.occno=1 x.val=0.1junc.occno=1xj=1.15156 um from top of first Siliconlayer X.val=0.1EXTRACT> extract name=”tox” thicknessmaterial=”oxide” mat.occno=1 y.val=0.1

tox=402.545 angstroms (0.0402545 um) Y.val=0.1EXTRACT> #extract 1D electrical parametersEXTRACT> extract name=”sheet_rho” n.sheet.resmaterial=”Silicon” mat.occno=1 x.val=0.1 region.occno=1sheet_rho=35.1746 ohm/square X.val=0.1

One can see that the profile is more similar to that of a complementary error function than theGaussian and that the junction is much deeper because of the large thermal cycle. The sheet resist-ance does not change dramatically. Most of the impurity was activated during the predeposition.

extract name="tox" thickness material="oxide" mat.occno=1y.val=0.1

#extract 1D electrical parametersextract name="sheet_rho" n.sheet.res material="Silicon"mat.occno=1 x.val=0.1 region.occno=1

# Save and plot the final structurestructure outfile=ex3_3.strtonyplotquit

20

19

18

17

16

15

14

13

12

110.1 0.2 0.3 0.4 0.5 0.6

Distance along line

Boron (/cm3)

Net doping (/cm3)

Phosphorus (/cm3)

0.7 0.8 0.9

ATHENAData from ex3_2.str

Figure 3.18 Plot of impurity as a function of depthfor phosphorus drive-in.

Page 28: 03- to edit

3.8 SummaryThis chapter reviewed the physics of diffusion and presented Fick’s laws, the relations that governdiffusion. Two particular solutions were presented corresponding to drive-in and predeposition diffu-sion. The atomistic mechanisms of diffusion were presented along with heavy doping effects. Thedetails of diffusion for a variety of popular dopants were also discussed. At high doping concentra-tions the diffusion coefficient is no longer constant, but frequently depends on the local dopingconcentration and concentration gradient. A numerical tool, was introduced that allows the student tocalculate dopant profiles in the presence of these nonlinear effects.

Problems(For all problems assume that the diffusivity can be approximated by the intrinsic diffusivity,unless specified otherwise.)1. Assume that you have been asked to measure the diffusivity of a donor impurity in a new

elemental semiconductor. What constants would you need to measure? What experimentswould you attempt? Discuss the measurement techniques that you would use to measure thechemical and carrier profiles. What problems are likely to arise?

2. Construct a semilog plot using Table 3.2 of the three contributions (Di, D�, and D�) to thediffusivity of phosphorus as a function of temperature from 700 to 1100�C. Assume that thephosphorus concentration is 1019 cm�3.

3. Using Fair’s vacancy model, including charge effects, calculate the diffusivity of arsenic (As)in silicon at 1000�C for the following arsenic doping concentrations:(a) 1 � 1015 cm�3

(b) 1 � 1021 cm�3

Hint: For both parts, the carrier concentration (n) is not equal to the doping concentration (C).4. Stress is a high concentration effect that was not discussed. Arsenic, for example, is a much

larger atom than silicon. When high concentrations of arsenic are incorporated in the lattice itcreates strain. Qualitatively discuss how this strain may affect the diffusivity.

5. Delta doping is a process used in advanced GaAs fabrication to increase the Schottky barrierheight of the gate electrode. This reduces the gate electrode leakage. Delta doping is done bydepositing a monolayer of a p-type dopant material directly between the gate electrode and theGaAs. Assume that the atomic surface coverage is 1.5 � 1015 cm�2 and that the dopant isberyllium. After the gate patterning, the source/drain is annealed at 800�C for 10 min toactivate the impurity. (a) If the gate material prevents any outdiffusion from the wafer, use first-order diffusion theory to calculate the junction depth if the channel is doped 1 � 1017 cm�3 n-type. (b) What surface concentration of Be will result? (c) Sketch the profilethat you calculated using this simple theory, and the profile that might actually be expected.Briefly list two reasons for the difference.

6. The surface of a silicon wafer has a region that is uniformly doped with boron at aconcentration of 1018 cm�3. This layer is 20 Å thick (1 Å � 10�4 �m � 10�8 cm). The entirewafer, including this region, is uniformly doped with arsenic at a concentration of 1015 cm�3.The surface of the wafer is sealed and it is heated at 1000�C for 30 min. Assume intrinsicdiffusion. (a) Find the concentration of boron at the surface after the anneal.(b) Find the junction depth (boron concentration equal to arsenic concentration) after the

anneal.

Problems 69

Page 29: 03- to edit

7. There is strong interest in forming very shallow pn junctions in silicon to build deeply scaledCMOS. Assume that you have access to an extremely low energy boron implanter and use it to implant a dose of 1015 cm�2 of boron to a negligible depth (RP � 0). Next, the surface of the wafer is sealed and the wafer is annealed at 1000�C for 10 sec. Assuming intrinsicdiffusion, find:(a) The final junction depth if the background concentration of the wafer is 1017 cm�3.(b) The final concentration at the surface of the wafer.

8. A 10-Å-thick, uniformly sulfur (S)-doped layer is grown on top of a GaAs wafer. The dopingconcentration of this layer is 1018 cm�3. The wafer is scaled with a layer of Si3N4 to preventany outdiffusion, and it is annealed for 60 min at 950�C. Ignore all heavy doping effects.(a) Find the sulfur concentration at the surface after the anneal.(b) At what depth would the concentration be 1014 cm�3?

9. A silicon wafer was doped in a 1000�C predeposition diffusion with phosphorus to its solidsolubility limit. The process time was 20 min. After the predeposition, the surface of the siliconwas sealed and an 1100�C drive-in was done. Find the drive-in time necessary to obtain ajunction depth of 4.0 �m. Assume a substrate concentration of 1017 cm�3. What is the surfaceconcentration after the drive-in?

10. Refer to the accompanying plot, which shows thediffusion of arsenic into silicon. Assume that thiswas done at 1000�C.(a) Taking into account charged vacancy effects,

calculate the diffusion coefficient of As at thesurface of the wafer.

(b) Calculate the intrinsic diffusivity(c) Is the diffusion shown in the figure a

predeposition or a drive-in? Justify youranswer. (Note that owing to heavy dopingeffects, you cannot get this from the shape ofthe curve.)

11. For deeply scaled MOSFETs, it is necessary tomake very shallow source/drain junctions. Assumethat one needs a P�/n junction that is 0.05 �mdeep. The wafer is implanted with boron atextremely low energy (Rp �� 0.05 �m) to a doseof 5 � 1015 cm�2. An anneal must be done at1000�C to repair the implant damage and to

activate the impurity. Ignoring heavy doping effects (i.e., assume simple intrinsic diffusion) andtransient diffusion effects, and assuming that none of the implanted boron leaves the wafer,how long should the diffusion be done? The (n-type) substrate concentration is 2 � 1017 cm�3.

12. A 10-min 1100�C predeposition is done using arsenic. Assume that the surface concentrationreaches the solid solubility limit. Next, the wafer is annealed for 24 hr at 1000�C. Use Equation3.23 to predict the maximum carrier concentration. How does this compare to the solidsolubility limit at 1000�C? Explain the distinction between solid solubility and Equation 3.23.

13. Assume that a wafer is uniformly doped. If a Schottky contact is formed on the surface, whatwould the C–V curve look like?

14. Boron-doped gate electrodes are desirable for certain highly scaled MOS transistors. Assumethat the gate oxide thickness is 100 Å. Also assume that the concentration of boron in the oxidevery close to the polysilicon gate is a constant of 1021 cm�3. The maximum amount of boron

3 Diffusion70Co

ncen

tratio

n

0.00 0.200.100.05

Increasing time

0.250.15Depth (μm)

1020

1018

1017

1019

1016

Page 30: 03- to edit

that can be diffused into the substrate without shifting the threshold voltage outside-allowablelimits is 3 � 1011 cm�2. Using Table 3.4, estimate the dose of impurities that enter thesemiconductor after a 4-hr anneal at 1000�C. Will this anneal produce an unacceptablethreshold voltage shift?

References1. F. J. Morin and J. P. Maita, “Electrical Properties of Silicon Containing Arsenic and Boron,”

Phys. Rev. 96:28 (1954).2. W. R. Runyan and K. E. Bean, Semiconductor Integrated Circuit Processing Technology,

Addison-Wesley, Reading, MA, 1990.3. P. M. Fahey, P. B. Griffin, and J. D. Plummer, “Point Defects and Dopant Diffusion in Silicon,”

Rev. Mod. Phys. 61:289 (1989).4. T. Y. Yan and U. Gosele, “Oxidation-Enhanced or Retarded Diffusion and the Growth or

Shrinkage of Oxidation-Induced Stacking Faults in Silicon,” Appl. Phys. Lett. 40:616(1982).

5. S. Mizuo and H. Higuchi, “Retardation of Sb Diffusion in Si During Thermal Oxidation,”J. Appl. Phys. Jpn. 20:739 (1981).

6. A. M. R. Lin, D. A. Antoniadis, and R. W. Dutton, “The Oxidation Rate Dependence ofOxidation-Enhanced Diffusion of Boron and Phosphorus in Silicon,” J. Electrochem. Soc.128:1131 (1981).

7. D. J. Fisher, ed., “Diffusion in Silicon—A Seven-year Retrospective,” Defect Diffusion Forum241:1 (2005).

8. “Diffusion in Ga-As and other III–V Semiconductors,” Defect Diffusion Forum 157–159:223(1998).

9. R. B. Fair, “Concentration Profiles of Diffused Dopants in Silicon,” in Impurity DopingProcesses in Silicon, F. F. Y. Wang, ed., North-Holland, New York, 1981.

10. H. Ryssel, K. Muller, K. Harberger, R. Henkelmann, and F. Jahael, “High ConcentrationEffects of Ion Implanted Boron in Silicon,” J. Appl. Phys. 22:35 (1980).

11. R. Duffy, V. C. Venezia, A. Heringa, B. J. Pawlak, M. J. P. Hopstaken, G. C. J. Maas,Y. Tamminga, T. Dao, F. Roozeboom, and L. Pelaz, “Boron Diffusion in Amorphous Siliconand the Role of Fluorine,” Appl. Phys. Lett. 84(21):4283 (2004).

12. A. Ural, P. B. Griffin, and J. D. Plummer, “Fractional Contributions of Microscopic DiffusionMechanisms for Common Dopants and Self-Diffusion in Silicon,” J. Appl. Phys. 85(9):6440(1999).

13. J. Xie and S. P. Chen, “Diffusion and Clustering in Heavily Arsenic-Doped Silicon—Discrepancies and Explanation,” Phys. Rev. Lett. 83(9):1795 (1999).

14. R. B. Fair and J. C. C. Tsai, “A Quantitative Model for the Diffusion of Phosphorus in Siliconand the Emitter Dip Effect,” J. Electrochem. Soc. 124:1107 (1978).

15. M. UeMatsu, “Simulation of Boron, Phosphorus, and Arsenic Diffusion in Silicon Based on anIntegrated Diffusion Model, and the Anomalous Phosphorus Diffusion Mechanism,” J. Appl,Phys. 82(5): 2228 (1997).

16. R. J. Field and S. K. Ghandhi, “An Open Tube Method for the Diffusion of Zinc in GaAs,”J. Electrochem. Soc. 129:1567 (1982).

17. L. R. Weisberg and J. Blanc, “Diffusion with Interstitial-Substitutional Equilibrium. Zinc inGallium Arsenide,” Phys. Rev. 131:1548 (1963).

18. S. Reynolds, D. W. Vook, and J. F. Gibbons, “Open-Tube Zn Diffusion in GaAs UsingDiethylzinc and Trimethylarsenic: Experiment and Model,” J. Appl. Phys. 63:1052 (1988).

References 71

Page 31: 03- to edit

19. K. B. Kahen, “Mechanism for the Diffusion of Zinc in Gallium Arsenide,” in Mater. Res. Soc.Symp. Proc., Vol. 163, D. J. Wolford, J. Bernholc, and E. F. Haller, eds., MRS, Pittsburgh,1990, p. 681.

20. M. E. Greiner and J. F. Gibbons, “Diffusion of Silicon in Gallium Arsenide Using RapidThermal Processing: Experiment and Model,” Appl. Phys. Lett. 44:740 (1984).

21. K. L. Kavanaugh, C. W. Magee, J. Sheets, and J. W. Mayer, “The Interdiffusion of Si, P, and Inat Polysilicon Interfaces,” J. Appl. Phys. 64:1845 (1988).

22. S. Yu, U. M. Gosele, and T. Y. Tan, “An Examination of the Mechanism of Silicon Diffusion inGallium Arsenide,” in Mater. Res. Soc. Symp. Proc., Vol. 163, D. J. Wolford, J. Bernholc, andE. F. Haller, eds., MRS, Pittsburgh, 1990, p. 671.

23. K. B. Kahen, D. J. Lawrence, D. L. Peterson, and G. Rajeswaren, “Diffusion of Ga Vacanciesand Si in GaAs,” in Mater. Res. Soc. Symp. Proc., Vol. 163, D. J. Wolford, J. Bernholc, and E. F. Haller, eds., MRS, Pittsburgh, 1990, p. 677.

24. J. J. Murray, M. D. Deal, E. L. Allen, D. A. Stevenson, and S. Nozaki, J. Electrochem. Soc.137(7):2037 (1992).

25. D. Sudandi and S. Matsumoto, “Effect of Melt Stoichiometry on Carrier Concentration Profilesof Silicon Diffusion in Undoped LEC Sl-GaAs,” J. Electrochem. Soc. 136:1165 (1989).

26. L. B. Valdes, “Resistivity Measurements on Germanium for Transistors,” Proc. IRE 42:420(1954).

27. M. Yamashita and M. Agu, “Geometrical Correction Factor of Semiconductor ResistivityMeasurement by Four Point Probe Method,” Jpn. J. Appl. Phys. 23:1499 (1984).

28. D. K. Schroder, Semiconductor Material and Device Characterization, Wiley-Interscience,New York, 1990.

29. L. J. Van der Pauw, “A Method for Measuring the Specific Resistivity and Hall Effect of Discsof Arbitrary Shape,” Phillips Res. Rep. 13:1 (1958).

30. D. S. Perloff, “Four-point Probe Correction Factors for Use in Measuring Large DiameterDoped Semiconductor Wafers,” J. Electrochem. Soc. 123:1745 (1976).

31. A. Diebold, M. R. Kump, J. J. Kopanski, and D. G. Seiler, J. Vacuum Sci. Technol. B 14:196(1996).

32. J. S. McMurray, J. Kim, and C. C. Williams, “Direct Comparison of Two-Dimensional DopantProfiles by Scanning Capacitance Microscopy with TSUPRE4 Process Simulation,”J. Vacuum Sci. Technol. B. 16:344 (1998).

33. M. Pawlik, “Spreading Resistance: A Comparison of Sampling Volume Correction Factors inHigh Resolution Quantitative Spreading Resistance,” in Emerging Semiconductor Technology,D. C. Gupta and R. P. Langer, eds., STP 960, American Society for Testing and Materials,Philadelphia, 1987.

34. R. G. Mazur and G. A. Gruber, “Dopant Profiles in Thin Layer Silicon Structures with theSpreading Resistance Profiling Technique,” Solid State Technol. 24:64 (1981).

35. P. Blood, “Capacitance–Voltage Profiling and the Characterization of III–V SemiconductorsUsing Electrolyte Barriers,” Semicond. Sci. Technol. 1:7 (1986).

36. M. Ghezzo and D. M. Brown, “Diffusivity Summary of B, Ga, P, As, and Sb in SiO2,”J. Electrochem. Soc. 120:146 (1973).

37. Z. Zhou and D. K. Schroder, “Boron Penetration in Dual Gate Technology,” Semicond. Int.21:6 (1998).

38. K. A. Ellis and R. A. Buhrman, “Boron Diffusion in Silicon Oxides and Oxynitrides,”J. Electrochem. Soc. 145:2068 (1998).

39. T. Aoyama, H. Arimoto, and K. Horiuchi, “Boron Diffusion in SiO2 Involving HighConcentration Effects,” Jpn. J. Appl. Phys. 40:2685 (2001).

3 Diffusion72

Page 32: 03- to edit

40. S. Sze, VLSI Technology, McGraw-Hill, New York, 1988.41. M. Uematsu, “Unified Simulation of Diffusion in Silicon and Silicon Dioxide,” Defect

Diffusion Forum, 237–240:38 (2005).42. T. Aoyama, H. Tashiro, and K. Suzuki, “Diffusion of Boron, Phosphorus, Arsenic, and

Antimony in Thermally Grown Silicon Dioxide,” J. Electrochem. Soc. 146(5):1879 (1999).43. M. Susa, K. Kawagishi, N. Tanaka, and K. Nagata, “Diffusion Mechanism of Phosphorus from

Phosphorus Vapor in Amorphous Silicon Dioxide Film Prepared by Thermal Oxidation,” J.Electrochem. Soc. 144(7):2552 (1997).

44. T. Aoyama, K. Suzuki, H. Tashiro, Y. Toda, T. Yamazaki, K. Takasaki, and T. Ito, J. Appl. Phys.77:417 (1995).

45. T. Aoyama, K. Suzuki, H. Tashiro, Y. Tada, and K. Horiuchi, “Nitrogen ConcentrationDependence on Boron Diffusion in Thin Silicon Oxynitrides Used for Metal-Oxide-Semiconductor Devices,” J. Electrochem. Soc. 145:689 (1998).

46. K. A. Ellis and R. A. Buhrman, “Phosphorus Diffusion in Silicon Oxide and Oxynitride GateDielectrics,” Electrochem. Solid State Lett. 2(10):516 (1999).

References 73