ô b o s !-}g v ¯õ²6 >äÌ · z le3=3 ¯õw×õg ¢å le4=4 ¯õw×õg ¢å saqp= n k Ë...

108
证券研究报告 半导体行业 2020622光刻机行业研究框架 ——专题报告 分析师: 陈杭 执业证书编号: S1220519110008

Upload: others

Post on 11-Jul-2020

0 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: ô B o s !-}g v ¯õ²6 >äÌ · Z LE3=3 ¯õW×õg ¢å LE4=4 ¯õW×õg ¢å SAQP= N k Ë ª¢å Cut= â (õW× ± z ¨ EUV Ðßë$ùk ÐKtï 193nm °öÑk * vãy¨J Lo , gQ%o Ú

证券研究报告半导体行业2020年6月22日

光刻机行业研究框架——专题报告

分析师: 陈杭 执业证书编号: S1220519110008

Page 2: ô B o s !-}g v ¯õ²6 >äÌ · Z LE3=3 ¯õW×õg ¢å LE4=4 ¯õW×õg ¢å SAQP= N k Ë ª¢å Cut= â (õW× ± z ¨ EUV Ðßë$ùk ÐKtï 193nm °öÑk * vãy¨J Lo , gQ%o Ú

重中之重,前道设备居首位。光刻机作为前道工艺七大设备之首(光刻机、刻蚀机、镀膜设备、量测设备、清洗机、离子注入机、其他设备),价值含量极大,在制造设备投资额中单项占比高达23%,技术要求极高,涉及精密光学、精密运动、高精度环境控制等多项先进技术。光刻机是人类文明的智慧结晶,被誉为半导体工业皇冠上的明珠。

冲云破雾,国产替代迎曙光。目前全球前道光刻机被ASML、尼康、佳能完全垄断,CR3高达99%。在当前局势下,实现光刻机的国产替代势在必行,具有重大战略意义。在02专项光刻机项目中,设定于2020年12月验收193纳米ArF浸没式DUV光刻机,其制程工艺为28纳米。考虑到此项目作为十三五目标,未来具有较大的明确性,结合28nm作为当前关键技术节点的性能和技术优势,我们认为光刻机国产替代将迎来新的曙光,尤其是IC前道制造领域,将初步打破国外巨头完全垄断的局面,实现从0到1的突破。

按图索骥,追根溯源寻标的。通过对即将交付的28nm光刻机进行剖析,建议关注以举国之力助力国产替代的光刻产业链,一是光刻机核心组件:负责整体集成的上海微电子、负责光源系统的科益虹源,负责物镜系统的国望光学,负责曝光光学系统的国科精密,负责双工作台的华卓精科,负责浸没系统的启尔机电;二是光刻配套设施:包括光刻胶,光刻气体,光掩模版,光刻机缺陷检测设备,涂胶显影设备等。

核心要点

Page 3: ô B o s !-}g v ¯õ²6 >äÌ · Z LE3=3 ¯õW×õg ¢å LE4=4 ¯õW×õg ¢å SAQP= N k Ë ª¢å Cut= â (õW× ± z ¨ EUV Ðßë$ùk ÐKtï 193nm °öÑk * vãy¨J Lo , gQ%o Ú

目录

一、光刻机投资逻辑框架

二、光刻机详解:现代光学工业之花

ASML光刻机产业链:集成全球工艺

从0到1,国产光刻机如何破局

三、光刻机的全球视角

四、国产光刻机之路:路漫漫其修远兮

国产光刻机产业链:按图索骥

光刻机公司地图概览:前道光刻,一家独大

Page 4: ô B o s !-}g v ¯õ²6 >äÌ · Z LE3=3 ¯õW×õg ¢å LE4=4 ¯õW×õg ¢å SAQP= N k Ë ª¢å Cut= â (õW× ± z ¨ EUV Ðßë$ùk ÐKtï 193nm °öÑk * vãy¨J Lo , gQ%o Ú

国产光刻机产业链:按图索骥

国产光刻机产业链

中芯国际

华润微

华虹宏力

光刻配套设施

设计与整机集成

上微电

芯硕半导体

影速半导体

光刻胶 光刻气体

光刻核心组件

光源系统

福晶科技

科益虹源

双工作台

华卓精科

浸没系统

启尔机电

曝光系统

国科精密

物镜系统

奥普光电

国望光学

光栅系统

上光所

华特气体

雅克科技

光掩模版 涂胶显影缺陷检测

精测电子

东方晶源

南大光电

容大感光

华润微

菲利华

芯源微

IC前道制造

后道封装

长电科技

晶方科技

资料来源:各公司官网,方正证券研究所

Page 5: ô B o s !-}g v ¯õ²6 >äÌ · Z LE3=3 ¯õW×õg ¢å LE4=4 ¯õW×õg ¢å SAQP= N k Ë ª¢å Cut= â (õW× ± z ¨ EUV Ðßë$ùk ÐKtï 193nm °öÑk * vãy¨J Lo , gQ%o Ú

资料来源:各公司官网,方正证券研究所

国产光刻机产业链:按图索骥

在02专项光刻机项目二期中,设定的时间为2020年12月验收193nmArF浸没式DUV光刻机,对标产品为ASML现阶段最强DUV光刻机:TWINSCAN NXT:2000i。以NXT:2000i为例,各子系统拆分如下:上海微电子负责光刻机设计和总体集成,北京科益虹源提供光源系统,北京国望光学提供物镜系统,国科精密提供曝光光学系统,华卓精科提供双工作台,浙江启尔机电提供浸没系统。

Page 6: ô B o s !-}g v ¯õ²6 >äÌ · Z LE3=3 ¯õW×õg ¢å LE4=4 ¯õW×õg ¢å SAQP= N k Ë ª¢å Cut= â (õW× ± z ¨ EUV Ðßë$ùk ÐKtï 193nm °öÑk * vãy¨J Lo , gQ%o Ú

ASML光刻机产业链:集成全球工艺

阿斯麦光刻机产业链

台积电

三星

海力士

英特尔

ASML

激光光源

Cymer

物镜组

东芝半导体

蔡司

Berliner

Glas

光学组件 精密加工 零部件

Kyoceraheidenh

ain

浸没双工作台

ASMLTSMC

光刻机核心组件 整机集成 前道制造

资料来源:各公司官网,方正证券研究所

Page 7: ô B o s !-}g v ¯õ²6 >äÌ · Z LE3=3 ¯õW×õg ¢å LE4=4 ¯õW×õg ¢å SAQP= N k Ë ª¢å Cut= â (õW× ± z ¨ EUV Ðßë$ùk ÐKtï 193nm °öÑk * vãy¨J Lo , gQ%o Ú

资料来源:ASML官网,方正证券研究所

ASML最先进的浸没式光刻系统:DUV——全球工艺集大成者

TWINSCAN NXT:2000i DUV(双工作台深紫外光刻机)是ASML最先进的浸没式光刻系统,是极紫外光刻机EUV前的重要过渡产品,也是后期7nm/5nm产能的重要补充。

Page 8: ô B o s !-}g v ¯õ²6 >äÌ · Z LE3=3 ¯õW×õg ¢å LE4=4 ¯õW×õg ¢å SAQP= N k Ë ª¢å Cut= â (õW× ± z ¨ EUV Ðßë$ùk ÐKtï 193nm °öÑk * vãy¨J Lo , gQ%o Ú

从上下游利益链条看ASML是如何成功的

资料来源:赛迪智库,方正证券研究所

ASML

台积电 英特尔三星

投资

定增

入股 并购

技术获取

投资入股

资本注入

优先供货

镜头

蔡司

光刻

Brion

光源

Cymer

高科技服务

汉微科

电子束检测

HMI

线材

信邦电子 公准精密

零组件 紫外光光照盒

光罩股份…

德国 美国 中国台湾

ASML的成功=上游供应商的顶尖技术+下游厂商的巨额投资+开放性研究网络。 高端光刻机集合了全球各国最顶尖的科技,如:德国的蔡司镜头技术、美国的控制软件和光源

、日本的特殊复合材料等,下游厂商为了获得优先供货权纷纷投入巨额资金支持ASML研发。

Page 9: ô B o s !-}g v ¯õ²6 >äÌ · Z LE3=3 ¯õW×õg ¢å LE4=4 ¯õW×õg ¢å SAQP= N k Ë ª¢å Cut= â (õW× ± z ¨ EUV Ðßë$ùk ÐKtï 193nm °öÑk * vãy¨J Lo , gQ%o Ú

资料来源:芯思想研究院,方正证券研究所

光刻机公司地图概览:前道光刻,一家独大

CR3:99%

Page 10: ô B o s !-}g v ¯õ²6 >äÌ · Z LE3=3 ¯õW×õg ¢å LE4=4 ¯õW×õg ¢å SAQP= N k Ë ª¢å Cut= â (õW× ± z ¨ EUV Ðßë$ùk ÐKtï 193nm °öÑk * vãy¨J Lo , gQ%o Ú

从0到1,国产光刻机如何破局

资料来源:IBS,方正证券研究所

中国晶圆代工需求占全球代工总需求比重日益提升。根据IBS显示,2018年中国IC设计公司对晶圆制造需求约805亿元,占全球晶圆代工规模4,088亿元的19.7%,到2025年时需求上升涨至30.5%。在这样的格局下,中国对于半导体制造设备的需求以及资本投入将会日益提高。

随着中国大陆代工厂的不断扩建,未来对于国产光刻机的需求不断提升,而当前国内与国外顶尖光刻机制程仍存在较大差距,国产光刻机应从如下几个方面寻求突破:1、产业分工:国内涉及相关光刻机零部件的企业形成产业分工,各取所长研发、提供相应的技术和零部件;2、科研投入:目前国内企业仍存有买办思维,光刻机作为人类智慧的结晶,高科技产物,科研投入必不可少;3、技术突破:汇集顶尖人才对于核心技术优先突破;4、人才积累:注重奖励机制。

0%

5%

10%

15%

20%

25%

30%

35%

0

1000

2000

3000

4000

5000

6000

2018 2019 2020 2021 2022 2023 2024 2025

中国代工需求(亿元) 全球代工需求(亿元) 中国市场占比

中国代工市场占比 国产光刻机如何破局

Page 11: ô B o s !-}g v ¯õ²6 >äÌ · Z LE3=3 ¯õW×õg ¢å LE4=4 ¯õW×õg ¢å SAQP= N k Ë ª¢å Cut= â (õW× ± z ¨ EUV Ðßë$ùk ÐKtï 193nm °öÑk * vãy¨J Lo , gQ%o Ú

一、光刻机投资逻辑框架

二、光刻机详解:现代光学工业之花

三、光刻机全球视角

四、国产光刻机之路:路漫漫其修远兮

目录

光刻机:半导体工业皇冠上的明珠

光刻机的演变及历史性转折

顶级光刻机的尖端工艺

Page 12: ô B o s !-}g v ¯õ²6 >äÌ · Z LE3=3 ¯õW×õg ¢å LE4=4 ¯õW×õg ¢å SAQP= N k Ë ª¢å Cut= â (õW× ± z ¨ EUV Ðßë$ùk ÐKtï 193nm °öÑk * vãy¨J Lo , gQ%o Ú

光刻机:半导体工业皇冠上的明珠。光刻工艺定义了半导体器件的尺寸,是芯片生产流程中最复杂、最关键的步骤。光刻机是光刻工艺的核心设备,也是所有半导体制造设备中技术含量最高的设备,集合了数学、光学、流体力学、高分子物理与化学、表面物理与化学、精密仪器、机械、自动化、软件、图像识别领域等多项顶尖技术。光刻的工艺水平直接决定芯片的制程和性能水平。

光刻机的演变及历史性转折。根据所用光源改进和工艺创新,光刻机经历了5代产品发展,每次光源的改进都显著提升了光刻机所能实现的最小工艺节点。在技术节点的更新上,光刻机经历了两次重大变革,在历次变革中,ASML都能抢占先机,最终奠定龙头地位。

顶级光刻机的尖端工艺。目前业内最先进的是采用波长13.5nm极紫外光的第五代EUV光刻机,可实现7nm工艺制程,技术要求极高,单台价值为1.2亿欧元,ASML成为全球唯一一家能够设计和制造EUV光刻机设备的厂商。

核心要点

Page 13: ô B o s !-}g v ¯õ²6 >äÌ · Z LE3=3 ¯õW×õg ¢å LE4=4 ¯õW×õg ¢å SAQP= N k Ë ª¢å Cut= â (õW× ± z ¨ EUV Ðßë$ùk ÐKtï 193nm °öÑk * vãy¨J Lo , gQ%o Ú

光刻:IC制造中的关键环节

半导体芯片产业链分为IC设计、IC制造、IC封测三大环节。光刻的主要作用是将掩模版上的芯片电路图转移到硅片上,是IC制造的核心环节,也是整个IC制造中最复杂、最关键的工艺步骤。

通过激光或电子束直接写在光掩模板上,然后用激光辐照光掩模板,晶圆上的光敏物质因感光而发生材料性质的改变,通过显影,便完成了芯片从设计版图到硅片的转移。

IC制作工艺流程

基底

化合物

基底

化合物

光胶

基底

化合物

光胶

光罩

基底

化合物

基底

化合物

光胶 光胶

基底

化合物

基底 基底

化合物 化合物

n+ n+ n+ n+p+ p+ p+ p+

晶圆清洗、薄膜沉积 涂光刻胶 光刻

光刻刻蚀去胶

离子注入 薄膜沉积

资料来源:电子说,方正证券研究所

Page 14: ô B o s !-}g v ¯õ²6 >äÌ · Z LE3=3 ¯õW×õg ¢å LE4=4 ¯õW×õg ¢å SAQP= N k Ë ª¢å Cut= â (õW× ± z ¨ EUV Ðßë$ùk ÐKtï 193nm °öÑk * vãy¨J Lo , gQ%o Ú

光刻:IC制造中的关键环节

产线中晶圆制造设备投资额占比

光刻工艺定义了半导体器件的尺寸,是IC制造中的关键环节。 作为芯片生产流程中最复杂、最关键的步骤,光刻工艺难度最大、耗时最长,

芯片在生产过程中一般需要进行20~30次光刻,耗费时间约占整个硅片工艺的40~60%,成本极高,约为整个硅片制造工艺的1/3。

一般的光刻工艺要经历硅片表面清洗烘干、涂底、旋涂光刻胶、软烘、对准曝光、后烘、显影、硬烘、刻蚀、检测等工序。

刻蚀

显影

曝光

光致抗蚀剂膜

剥离

沉积

离子注入

为了生产芯片,需重复该过程超过50次以构建三维结构

资料来源:ASML官网,芯智讯,方正证券研究所

光刻设备,

27%

刻蚀设备, 20%

CVD设备, 10%

PVD设备, 10%

量测设备, 10%

掺杂设备, 10%

清洗设备, 6%

CMP设备, 4%其他设备, 3%

Page 15: ô B o s !-}g v ¯õ²6 >äÌ · Z LE3=3 ¯õW×õg ¢å LE4=4 ¯õW×õg ¢å SAQP= N k Ë ª¢å Cut= â (õW× ± z ¨ EUV Ðßë$ùk ÐKtï 193nm °öÑk * vãy¨J Lo , gQ%o Ú

双重图案技术:用于增加一倍图案密度

最简单的多重图案工艺是双重图案,它将特征密度提高了两倍。 最广泛采用的双图案化方案之一是双曝光/双蚀刻(LELE)。 该技术将给定的图案分成两个密度较小的部分。通过在光刻工艺中曝光光刻胶

,然后蚀刻硬掩模,将第一层图案转移到下面的硬掩模上。然后将第二层图案与第一层图案对准并通过第二次光刻曝光和刻蚀转移到硬掩模上。最终在衬底上进行刻蚀,得到的图案密度是原始图案的两倍。

资料来源:Lam Research官网,方正证券研究所

普通光刻技术

双重图案技术

Page 16: ô B o s !-}g v ¯õ²6 >äÌ · Z LE3=3 ¯õW×õg ¢å LE4=4 ¯õW×õg ¢å SAQP= N k Ë ª¢å Cut= â (õW× ± z ¨ EUV Ðßë$ùk ÐKtï 193nm °öÑk * vãy¨J Lo , gQ%o Ú

自对准的双重图案技术

资料来源:Lam Research官网,方正证券研究所

自对准双重图案(SADP)技术是通过沉积和刻蚀工艺在心轴侧壁上形成的间隔物,然后通过一个额外的刻蚀步骤移除心轴,使用间隔物来定义所需的最终结构。因此特征密度增加了一倍。SADP技术主要用于FinFET技术中的鳍片形成、线的互连以及存储设备中的位线/字线的形成,其关键的优点在于避免了在LELE期间时可能发生的掩模不对齐。

193nm浸没式光刻的SADP可以实现20nm的半间距分辨率。

双重图案技术中的自对准间隔技术

SADP

Page 17: ô B o s !-}g v ¯õ²6 >äÌ · Z LE3=3 ¯õW×õg ¢å LE4=4 ¯õW×õg ¢å SAQP= N k Ë ª¢å Cut= â (õW× ± z ¨ EUV Ðßë$ùk ÐKtï 193nm °öÑk * vãy¨J Lo , gQ%o Ú

多重图案技术的应用

资料来源:ASML官网,方正证券研究所

1个图案化过程的工艺步骤

EUV浸没多重图案

干蚀

光刻跟踪沉积清洗硬掩模

CMP

流程步骤

测量

LE3=3×光刻蚀刻,“三重图案”

LE4=4×光刻蚀刻,“四重图案”

SAQP=间隔辅助四元图案

Cut=分离刻蚀步骤

在EUV技术出现之前,技术人员利用193nm的光刻机,通过把镜头放在水里、相移掩模、多重曝光的方法,一步步推进芯片技术节点,将摩尔定律一直延续到现在。

将SADP加倍可以得到四重图案化工艺SAQP,使得193nm浸没式光刻可以实现到10nm的分辨率。理论上是可以实现7nm节点工艺制程,但是需要的光罩数量非常多,工艺复杂,量产难度大。

Page 18: ô B o s !-}g v ¯õ²6 >äÌ · Z LE3=3 ¯õW×õg ¢å LE4=4 ¯õW×õg ¢å SAQP= N k Ë ª¢å Cut= â (õW× ± z ¨ EUV Ðßë$ùk ÐKtï 193nm °öÑk * vãy¨J Lo , gQ%o Ú

光刻机:半导体工业皇冠上的明珠

光刻机是光刻工艺的核心设备,也是所有半导体制造设备中技术含量最高的设备,包含上万个零部件,集合了数学、光学、流体力学、高分子物理与化学、表面物理与化学、精密仪器、机械、自动化、软件、图像识别领域等多项顶尖技术。

作为整个芯片工业制造中必不可少的精密设备——光刻机,其光刻的工艺水平直接决定芯片的制程和性能水平,因此光刻机更是被誉为半导体工业皇冠上的明珠。

光刻机工艺的发展史

光源 波长 对应设备 最小工艺节点 说明

第一代

UV

g-line 436nm接触式光刻机 800-250nm 易受污染,掩模版寿命短

接近式光刻机 800-250nm 成像精度不高

第二代 i-line 365nm接触式光刻机 800-250nm 易受污染,掩模板寿命短

接近式光刻机 800-250nm 成像精度不高

第三代

DUV

KrF 248nm 扫描投影式光刻机 180-130nm采用投影式光刻机,大大增加掩模版寿命

第四代 ArF 193nm步进扫描投影光刻机 130-65nm 最具代表性的一代光刻机,

但仍面临45nm制程下的分辨率问题浸没式步进扫描投影光刻机 45-22nm

第五代 EUV 13.5nm 极紫外光刻机 22-7nm 成本过高,技术突破困难

资料来源:ASML官网,方正证券研究所

Page 19: ô B o s !-}g v ¯õ²6 >äÌ · Z LE3=3 ¯õW×õg ¢å LE4=4 ¯õW×õg ¢å SAQP= N k Ë ª¢å Cut= â (õW× ± z ¨ EUV Ðßë$ùk ÐKtï 193nm °öÑk * vãy¨J Lo , gQ%o Ú

光刻机工作原理图

ASML Twinscan简易工作原理图 光刻机的工作原理:在IC制作过程中,光束穿过掩模及镜片,经物镜补偿光学误差,将线路图曝光在带有光感涂层的硅晶圆上,然后显影在硅片上。激光器作为光源,物镜补偿光学误差,是光刻机的核心设备,光刻机物镜系统一般由近20个直径为200~300mm的透镜组成。

光刻机决定了晶体管的尺寸,晶体管的尺寸对于芯片的性能具有重大意义。随着半导体产业的向前发展,不断追求着尺寸更小、速度更快、性能更强的芯片。正是半导体行业对于芯片的不断追求推动了光刻机产品的不断升级与创新。

减振装置

测量台 曝光台

测量设备

硅片

能量探测器

掩模台

掩模版

激光器

内部封闭框架

能量控制器

光速矫正器(共3个)

资料来源:电子发烧友网,方正证券研究所

Page 20: ô B o s !-}g v ¯õ²6 >äÌ · Z LE3=3 ¯õW×õg ¢å LE4=4 ¯õW×õg ¢å SAQP= N k Ë ª¢å Cut= â (õW× ± z ¨ EUV Ðßë$ùk ÐKtï 193nm °öÑk * vãy¨J Lo , gQ%o Ú

光刻机总体构造解析

资料来源:ASML官网,电子发烧友,方正证券研究所

光源系统 环境控制系统

掩模传输系统

投影物镜系统

硅片传输系统

工作台系统

整机软件系统整机控制系统

掩模台系统

自动校准系统

调平调焦测量系统

框架减震系统

激光

光束传输

投影镜片

操作控制单元

光源

晶圆传输系统

掩模台

扫描晶圆台Airmounts

光刻机(激光器)光刻机总体结构

光刻机的构造:

照明系统 Stage系统 镜头组 搬送系统 Alignment系统

光刻机性能指标:

基片尺寸范围 分辨率 对准精度 曝光方式 光源波长 光强均匀性 生产效率

Page 21: ô B o s !-}g v ¯õ²6 >äÌ · Z LE3=3 ¯õW×õg ¢å LE4=4 ¯õW×õg ¢å SAQP= N k Ë ª¢å Cut= â (õW× ± z ¨ EUV Ðßë$ùk ÐKtï 193nm °öÑk * vãy¨J Lo , gQ%o Ú

分辨率

套刻误差

>1μm >500nm >400~90nm >100~38nm >32~16nm

250nm 100nm 100~12nm 20~4nm 2nm

PAS 5000PAS 5500 Twinscan XT-NXT

Twinscan NXE

19

84

19

89

19

90

s

20

00

s

20

10

s

PAS 2000

光刻机的发展历程

ASML光刻机升级历程

ASML成立于1984年,当时正是日本半导体如日中天的时代。日本半导体的成功背后,是尼康和佳能两大光学巨头的光刻设备,以及东京电子、日立、迪恩士等一系列配套厂商的支持。

1994年ASML的市场份额只有18%,但设计超前的8英寸PAS5500以及1995年IPO给ASML带来了机遇。台积电、三星和现代(后来的Hynix)率先决定几乎全部改用ASML的机器,而1995年东芝、西门子和IBM联盟考虑到和佳能的合作,开始没有选择ASML。

最后的结局是:坚持尼康佳能的日系半导体厂商真正开始了长达数十年的衰败,而押宝ASML的三大东亚厂商迅速崛起直到今天称霸。

资料来源:ASML官网,方正证券研究所

Page 22: ô B o s !-}g v ¯õ²6 >äÌ · Z LE3=3 ¯õW×õg ¢å LE4=4 ¯õW×õg ¢å SAQP= N k Ë ª¢å Cut= â (õW× ± z ¨ EUV Ðßë$ùk ÐKtï 193nm °öÑk * vãy¨J Lo , gQ%o Ú

光刻机发展的历史性转折点——浸没式光刻机技术

传统的光刻技术中,镜头与光刻胶之间的介质是空气,而浸没式技术是将空气介质换成液体,利用光通过液体介质后光源波长缩短来提高分辨率。

20世纪90年代干式微影技术发展遇到瓶颈:光刻光源的波长无法从193nm缩短到157nm。林本坚(TSMC研发副总经理)提出将透镜和硅片间的介质换成水,当时两大巨头尼康、佳能选择开发波长更低的光源,独独ASML决定和台积电合作研究“浸没式”解决方案。

随着二者的合作开发,2003年成功推出第一台浸没式光刻机,成为市场上最先进的产品,收获大量客户,市场份额快速提高,宣告着浸没式技术将成为光刻界追逐的焦点。

传统光刻 浸没式光刻

掩模

投影物镜

光刻胶

硅片

浸没液体

浸没式光刻技术与传统光刻技术对比图

193nm浸没式光刻

157nm光源开发

45nm制程下一代光刻技术两种发展轨迹

资料来源:智东西,方正证券研究所

Page 23: ô B o s !-}g v ¯õ²6 >äÌ · Z LE3=3 ¯õW×õg ¢å LE4=4 ¯õW×õg ¢å SAQP= N k Ë ª¢å Cut= â (õW× ± z ¨ EUV Ðßë$ùk ÐKtï 193nm °öÑk * vãy¨J Lo , gQ%o Ú

光刻机价格变化

由于光刻机涉及系统集成、精密光学、精密运动、精密物料传输、高精度微环境控制等多项先进技术,是所有半导体制造设备中技术含量最高的设备,因此也具备极高的价值,且价格增长极快。

2018年,中芯国际向芯片机器制造商ASML发出了第一张订单,订购了一台最新的EUV(极紫外线)技术光刻机,机器价格为1.2亿欧元,于2019年交货。但目前由于种种阻碍,ASML迟迟未向中芯国际交货。

接触式光刻机

接近式光刻机

扫描投影式光刻机

反射扫描摄影式光刻机

步进式扫描投影式光刻机

EUV(ASML垄

断)

25-30万美元

1970年 2020年

1.2亿欧元

光刻机价格每4年翻一番

资料来源:尼康官网,ASML官网,方正证券研究所

Page 24: ô B o s !-}g v ¯õ²6 >äÌ · Z LE3=3 ¯õW×õg ¢å LE4=4 ¯õW×õg ¢å SAQP= N k Ë ª¢å Cut= â (õW× ± z ¨ EUV Ðßë$ùk ÐKtï 193nm °öÑk * vãy¨J Lo , gQ%o Ú

EUV LLC联盟

1997年Intel和美国能源部共同发起成立EUV LLC,汇聚了美国顶级的研究资源和芯片巨头,包括劳伦斯利弗莫尔实验室、劳伦斯伯克利实验室、桑迪亚国家实验室三大国家实验室,联合摩托罗拉、AMD等企业,集中数百位顶尖科学家,共同研究EUV光刻技术。

美国政府担心最前沿的技术落入外国公司手中,反对ASML和尼康加入。在ASML做出多项让步后,最后成功加入EUV LLC,能够享受其基础研究成果,尼康却没能加入。

2005年摩尔定律的延续再度陷入停滞,ASML仍坚持EUV研发,寻求政府经费帮助,吸收下游制造商投资,联合研究所等合作研发,最终于2010年成功推出第一台EUV光刻机。

ASML与客户共同开发EUV技术已超过10年

资料来源:ASML官网,方正证券研究所

Page 25: ô B o s !-}g v ¯õ²6 >äÌ · Z LE3=3 ¯õW×õg ¢å LE4=4 ¯õW×õg ¢å SAQP= N k Ë ª¢å Cut= â (õW× ± z ¨ EUV Ðßë$ùk ÐKtï 193nm °öÑk * vãy¨J Lo , gQ%o Ú

光刻机发展的历史性转折点——极紫外光刻技术

1997年ASML加入EUV LLC后,享受到的研究成果大大加快了其EUV的研发进度,2005年摩尔定律陷入停滞,极紫外光刻技术被认为是制程突破10nm的关键,但由于技术难度极高,需要巨额的研发资金,尼康和佳能只得选择放弃。

而同时ASML仍然坚持投入研发,并积极向外寻求研发支持。2010年ASML推出第一台EUV光刻机NXE:3100,2013年收购准分子激光源巨头Cymer,同年推出NXE:3300B,2017年推出第三款EUV光刻机NXE:3400B。

自此,ASML成为全球唯一一家能够设计和制造EUV光刻机设备的厂商,成为超高端市场的独家垄断者。

极紫外光刻技术示意图

靶材料

等离子体

大功率激光

EUV

步进扫描4倍反射投影掩模版

真空腔

多层涂层镜

投影掩模版的1/4图形

步进扫描承片台

资料来源:International SEMATECH,方正证券研究所

Page 26: ô B o s !-}g v ¯õ²6 >äÌ · Z LE3=3 ¯õW×õg ¢å LE4=4 ¯õW×õg ¢å SAQP= N k Ë ª¢å Cut= â (õW× ± z ¨ EUV Ðßë$ùk ÐKtï 193nm °öÑk * vãy¨J Lo , gQ%o Ú

EUV光刻机的工艺需求

难点一:在ASML的光刻机中,光源需要以每秒五万次的频率,用20kW的激光来击打20微米的锡滴,使液态锡汽化为等离子体,从而产生极紫外光(EUV)。

难点二:ASML的EUV光刻机可以实现13纳米的分辨率。 难点三:ASML无尘室内的空气比外部干净1万倍,为了实现这个目标,无尘室的通风设备必

须每小时净化30万立方米的空气。 难点四:在ASML的高数值孔径EUV设备中,为了能精确达到10纳米以下的线宽以及1纳米以

内的套刻精度,聚焦反射器必须非常平整。

资料来源:ASML官网,方正证券研究所

大功率EUV电源激光等离子体

磁极

磁极

中间聚焦

收集镜

EUV收集镜

13面镜的示例系统

EUV光刻技术示意图

Page 27: ô B o s !-}g v ¯õ²6 >äÌ · Z LE3=3 ¯õW×õg ¢å LE4=4 ¯õW×õg ¢å SAQP= N k Ë ª¢å Cut= â (õW× ± z ¨ EUV Ðßë$ùk ÐKtï 193nm °öÑk * vãy¨J Lo , gQ%o Ú

EUV光刻机的技术优势

芯片追求更快的处理速度,需要缩短晶体管内部导电沟道的长度,而光刻设备的分辨率决定了IC的最小线宽,因此光刻机产品的升级就势必要往更小分辨率水平上发展。

根据所使用光源的改进以及双工作台、沉浸式光刻等新型光刻技术的创新与发展,光刻机共经历了5代发展,每次光源的改进都显著提升了光刻机的工艺制程水平,以及生产的效率和良率。

EUV光刻机主要技术优势如下:1)更高的光刻分辨率;2)生产效率高,光刻工艺简单。但同时EUV光刻机也存在着许多问题:1)耗能巨大,能量利用率低;2)光学系统设计与制造复杂;3)光罩掩模版表面缺陷。

第五代EUV与ArFi工艺对比

ArF浸没

λ,准分子激光器输出激光波长

NA,投影光刻物镜数值孔径

光刻分辨率最小间距

EUV

NA 1.35最大值

NA 0.33现在

NA>0.5未来

76nm38nm半节距

26nm13nm半节距

<16nm<8nm半节距

EUV光刻技术,可提供3-5倍的分辨率增强

资料来源:ASML官网,方正证券研究所

Page 28: ô B o s !-}g v ¯õ²6 >äÌ · Z LE3=3 ¯õW×õg ¢å LE4=4 ¯õW×õg ¢å SAQP= N k Ë ª¢å Cut= â (õW× ± z ¨ EUV Ðßë$ùk ÐKtï 193nm °öÑk * vãy¨J Lo , gQ%o Ú

光刻机上游核心:光学镜片

光源

掩模版

晶圆

光学镜片

图案在晶圆上重复

高端光刻机含有上万个零部件,而光学镜片则是核心部件之一。高数值孔径的镜头决定了光刻机的分辨率以及套值误差能力,重要性不言而喻。

EUV垄断者ASML的镜片便是以蔡司技术打底,Carl Zeiss(卡尔蔡司)是光学及光电子学领域的绝对权威,长期以来为ASML的光刻设备提供最关键且高效能的光学系统。

目前ASML已与卡尔蔡司公司合作开发出数值孔径为0.33的EUV光刻机镜头,并积极研发下一代0.55高数值孔径光学系统,为推进3纳米及以下制程做努力。该光学系统与多重成像技术相比,成本将降低50%,周期时间将缩短3~6倍,并具备一流的套刻和聚焦性能。

13.5nm(EUV)的光刻EUV是未来纳米技术的关键,使用具有极紫外光的创新EUV光刻技术,可首次在晶圆中生成尺寸小于20nm的结构。

193nm(ArF)的光刻采用深紫外光(DUV),波长为193nm - 有或没有浸入式。来自两种系统类型的光都是通过带有氟化氩(ArF)的准分子激光器产生的。

蔡司主要光刻系统

资料来源:蔡司官网,ASML官网,方正证券研究所

Page 29: ô B o s !-}g v ¯õ²6 >äÌ · Z LE3=3 ¯õW×õg ¢å LE4=4 ¯õW×õg ¢å SAQP= N k Ë ª¢å Cut= â (õW× ± z ¨ EUV Ðßë$ùk ÐKtï 193nm °öÑk * vãy¨J Lo , gQ%o Ú

卡尔蔡司:光学领域的先驱者

蔡司是光学和光电行业国际领先的科技企业,研发并销售半导体制造设备、测量技术、显微镜、医疗技术、眼镜镜片、相机和摄影镜头、望远镜和天文馆技术。在半导体制造设备领域,卡尔蔡司在光刻领域提供了主流193纳米光刻光学系统和极紫外13.5纳米光学系统。

蔡司正塑造技术领域的未来,并通过解决方案不断推动光学和相关领域的发展。公司在研发方面的重大可持续投资为蔡司的技术和市场领导地位的成功和持续扩展奠定了基础。

资料来源:蔡司官网,方正证券研究所

半导体制造技术正在塑造微电子时代。蔡司半导体制造设备 能让微芯片变得更小、更强大、更节能、更经济。

工业质量与研究

蔡司业务分类

确保满足质量标准并应用于科研。工业和科学界使用蔡司坐标测量机、显微镜系统和软件解决方案以发现非常细小的结构和细微的过程。

作为眼镜、电影和相机镜头以及双筒望远镜、观测镜和瞄准镜的优秀制造商,光学消费品市场创造了许多令人难忘的时刻。

光学消费品市场半导体制造技术

塑造医疗进步。蔡司为眼科、神经外科、耳鼻喉科、牙科和 肿瘤学等提供的产品和解决方案帮助患者提高生活质量。

医疗技术

Page 30: ô B o s !-}g v ¯õ²6 >äÌ · Z LE3=3 ¯õW×õg ¢å LE4=4 ¯õW×õg ¢å SAQP= N k Ë ª¢å Cut= â (õW× ± z ¨ EUV Ðßë$ùk ÐKtï 193nm °öÑk * vãy¨J Lo , gQ%o Ú

光刻机上游核心:光源

光源则是高端光刻机另一核心部件,光源波长决定了光刻机的工艺能力。光刻机需要体积小、功率高而稳定的光源。如EUV光刻机所采用的波长13.5nm的极紫外光,光学系统极为复杂。

2013年ASML收购了全球领先的准分子激光器厂商Cymer,加速了EUV光源技术的发展,为光源技术提供了保障。

EUV光源系统

收集

CO2激光器

中间聚焦

晶圆台

投影光学系统

光源

掩模版

来源

资料来源:Cymer官网,SpringerLink,方正证券研究所

CYMER ONLINE XLA 400 XLR 500i XLR 600ix

XLR 700IX

Cymer部分新技术发布情况一览

2001年 2005年 2006年 2009年 2015年

… …

Page 31: ô B o s !-}g v ¯õ²6 >äÌ · Z LE3=3 ¯õW×õg ¢å LE4=4 ¯õW×õg ¢å SAQP= N k Ë ª¢å Cut= â (õW× ± z ¨ EUV Ðßë$ùk ÐKtï 193nm °öÑk * vãy¨J Lo , gQ%o Ú

一、光刻机行业投资逻辑框架

二、光刻机详解:现代光学工业之花

三、光刻机的全球视角

四、国产光刻机之路:路漫漫其修远兮

目录

光刻机的全球市场格局

海外巨头概览

光刻机的全球市场空间

Page 32: ô B o s !-}g v ¯õ²6 >äÌ · Z LE3=3 ¯õW×õg ¢å LE4=4 ¯õW×õg ¢å SAQP= N k Ë ª¢å Cut= â (õW× ± z ¨ EUV Ðßë$ùk ÐKtï 193nm °öÑk * vãy¨J Lo , gQ%o Ú

光刻机的全球市场空间。受益于下游需求旺盛,光刻设备有望量价齐升带动市场空间不断增长。量:晶圆尺寸变大和制程缩小将使产线所需的设备数量加大,12寸晶圆产线中所需的光刻机数量相较于8寸晶圆产线将进一步上升。同时预计2020年随着半导体产线得到持续扩产,光刻机需求也将进一步加大。价:随着芯片制程的不断升级,IC前道光刻机制造日益复杂,其价格不断攀升。

光刻机的全球市场格局。目前光刻机行业已经成为一个高度垄断的行业,行业壁垒较高,全球前道制造光刻机市场基本被ASML、尼康、佳能垄断,CR3高达99%。ASML一家独占鳌头,成为唯一的一线供应商,Nikon高开低走,但凭借多年技术积累,勉强保住二线供应商地位;而Canon只能屈居三线;上海微电子装备(SMEE)作为后起之秀,暂时只能提供低端光刻设备。

对标ASML:他山之石可以攻玉。在IC前道光刻机领域,ASML一家独大,高端EUV光刻机市占率高达100%。总结ASML的崛起之路:1、在全球维度,通过并购、入股获取光刻机各项关键子系统的尖端技术,贯通上游产业链,再进行整机集成;2、针对顶尖工艺的巨额研发投入。

核心要点

Page 33: ô B o s !-}g v ¯õ²6 >äÌ · Z LE3=3 ¯õW×õg ¢å LE4=4 ¯õW×õg ¢å SAQP= N k Ë ª¢å Cut= â (õW× ± z ¨ EUV Ðßë$ùk ÐKtï 193nm °öÑk * vãy¨J Lo , gQ%o Ú

2021年将会是全球晶圆厂设备支出的标志性一年,增长率为24%,达到创纪录的677亿美元,比先前预测的657亿美元高出10%,所有产品领域都有望实现稳定增长。

存储器工厂将以300亿美元的设备支出领先全球半导体领域;其次是领先的逻辑和代工厂,预计将以290亿美元的投资排名第二。

从产业趋势来看,存储器厂成为投资主力,基于存储芯片龙头三星、海力士及美光二季度数据,服务器云计算、5G基础建设将会带动相关芯片需求增长。

资料来源:SEMI,OFweek,方正证券研究所

晶圆厂设备开支(前端)

从2月预测中推出的最低点

增长率

设备(百万美

元)

2月预测增长率

5月预测增长率

全球晶圆厂设备开支预测

晶圆厂资本开支加速带动设备需求

Page 34: ô B o s !-}g v ¯õ²6 >äÌ · Z LE3=3 ¯õW×õg ¢å LE4=4 ¯õW×õg ¢å SAQP= N k Ë ª¢å Cut= â (õW× ± z ¨ EUV Ðßë$ùk ÐKtï 193nm °öÑk * vãy¨J Lo , gQ%o Ú

光刻机全球市场未来预测

受益于下游需求旺盛,光刻设备有望量价齐升带动市场空间不断增长。

价:随着芯片制程的不断升级,IC前道光刻机制造日益复杂,其价格不断攀升。先进制程发展使得晶体管成本降低,但是光刻机价格不断增高。目前7nm EUV光刻机平均每台价格达到了1.2亿欧元。

量:晶圆尺寸变大和制程缩小将使产线所需的设备数量加大,性能要求变高。12

寸晶圆产线中所需的光刻机数量相较于8寸晶圆产线将进一步上升。同时预计2020年随着半导体产线得到持续扩产,光刻机需求也将进一步加大。

资料来源:中国产业信息网,方正证券研究所

光刻机和晶体管的价格变化趋势 12寸晶圆产线需要的光刻设备更多

晶体管均价

光刻机价格

光刻机平均每像素成本

1960 1970 1980 1990 2000 2010 20200

1

2

3

4

5

6

7

8

9

8寸线 12寸线(成熟制程) 12寸线(先进制程)

制造产线所需光刻机数量(台/1万晶圆/月)

Page 35: ô B o s !-}g v ¯õ²6 >äÌ · Z LE3=3 ¯õW×õg ¢å LE4=4 ¯õW×õg ¢å SAQP= N k Ë ª¢å Cut= â (õW× ± z ¨ EUV Ðßë$ùk ÐKtï 193nm °öÑk * vãy¨J Lo , gQ%o Ú

全球格局三足鼎立,ASML龙头地位突显

目前全球光刻设备的格局是:ASML一家独占鳌头,成为唯一的一线供应商,旗下产品覆盖了全部级别的光刻机设备;Nikon高开低走,但凭借多年技术积累,勉强保住二线供应商地位;而Canon只能屈居三线;上海微电子装备(SMEE)作为后起之秀,暂时只能提供低端光刻设备,由于光刻设备对知识产权和供应链要求极高,短期很难达到国际领先水平。

目前光刻机行业已经成为一个高度垄断的行业。如果没有特别原因,这一格局在未来的时间里都很难发生变化。

资料来源:电子说,方正证券研究所

Page 36: ô B o s !-}g v ¯õ²6 >äÌ · Z LE3=3 ¯õW×õg ¢å LE4=4 ¯õW×õg ¢å SAQP= N k Ë ª¢å Cut= â (õW× ± z ¨ EUV Ðßë$ùk ÐKtï 193nm °öÑk * vãy¨J Lo , gQ%o Ú

全球格局三足鼎立,ASML龙头地位突显

从全球角度来看,半导体前道光刻机长期由ASML、尼康和佳能三家把持,从2012-2019历年全球半导体前道光刻机出货比例可以看出,ASML,尼康,佳能三家公司几乎占据了99%的市场份额,其中ASML光刻机市场份额常年在60%以上,市场地位极其稳固。

2012-2019年的半导体前道光刻机市场份额变化 2011-2019年三大公司各品类累计出货量(台)

资料来源:ASML、Nikon、Canon官网,方正证券研究所

0%

10%

20%

30%

40%

50%

60%

70%

2012 2013 2014 2015 2016 2017 2018 2019

ASML Nikon Canon

0

200

400

600

800

1000

1200

1400

1600

1800

ASML NIKON CANON

EUV ARFI ArF KrF i-line

Page 37: ô B o s !-}g v ¯õ²6 >äÌ · Z LE3=3 ¯õW×õg ¢å LE4=4 ¯õW×õg ¢å SAQP= N k Ë ª¢å Cut= â (õW× ± z ¨ EUV Ðßë$ùk ÐKtï 193nm °öÑk * vãy¨J Lo , gQ%o Ú

高端市场AMSL一枝独秀

顶级光刻机市场ASML一家独大。2019年的光刻机高端市场中,EUV方面ASML

独占鳌头,市占率100%。从EUV、ArFi、ArF机型的出货来看,全年共出货154台,其中ASML出货130台,在高端市场占有84%的份额。

Nikon在高端光刻机市场仍有一席之地,Canon则完全退出高端市场,将其业务重点集中于中低端光刻机市场。中低端光刻机市场竞争激烈,产品包括封装光刻机、LED光刻机以及面板光刻机等,与复杂的IC前道制造相比,工艺要求和技术壁垒较低, Canon凭借价格优势拿下不少的中低端市场份额。

2019年度全球高端光刻机销售情况 2019年度全球高端光刻机市占率情况

0%

20%

40%

60%

80%

100%

EUV ArFi ArF

ASML Nikon Canon

资料来源:ASML、Nikon、Canon官网,方正证券研究所

0

20

40

60

80

100

120

140

ASML Nikon Canon

EUV ArFi ArF

Page 38: ô B o s !-}g v ¯õ²6 >äÌ · Z LE3=3 ¯õW×õg ¢å LE4=4 ¯õW×õg ¢å SAQP= N k Ë ª¢å Cut= â (õW× ± z ¨ EUV Ðßë$ùk ÐKtï 193nm °öÑk * vãy¨J Lo , gQ%o Ú

ASML:高端光刻机的龙头

资料来源:ASML,方正证券研究所

ASML成立于1984年,是世界领先的半导体设备制造商之一,其唯一产品类型就是集成电路制造环节中最核心的设备——光刻机。

对内:ASML不断投入巨额研发费用,集合美国、欧洲科研力量,掌握了EUV光刻机的核心技术,从而奠定了在高端光刻机的龙头地位。

对外:通过并购竞购竞争对手,不断布局光刻机领域关键技术;同时加强与三星,英特尔和台积电等世界顶级芯片制造商的通力合作。ASML通过携手行业上下游,不断巩固市场龙头地位。

1995飞利浦出售其剩余股份,ASML成为一家完全独立的上市公司,在阿姆斯特丹和纳斯达克证券交易所上市

2000收购了硅谷集团,并将康涅狄格州的威尔顿作为研发和制造地点

2001推出了TWINSCAN系统及其革命性的双阶段技术

2007发运了第一个浸入式系统,收购了领先的半导体设计和制造优化解决方案提供商BRION

2012与三个主要客户-英特尔,台积电和三星共同开发了客户联合投资计划

2016-2017通过收购HMI扩展了Holistic Lithography产品组合。联合ASML和HMI工作于2017年首次出货ePfm5

1988ASMI退出ASML合资公司,并被飞利浦收购

2013收购了位于圣地亚哥的光刻光源制造商Cymer

1984飞利浦和ASMI成立了ASML开发光刻系统,推出了第一个系统PAS2000步进器

1986推出PAS 2500步进器,与镜片制造商卡尔蔡司建立了密切的合作关系

2019ASML宣布同意收购位于荷兰代尔夫特的高科技公司Mapper的知识产权资产

Page 39: ô B o s !-}g v ¯õ²6 >äÌ · Z LE3=3 ¯õW×õg ¢å LE4=4 ¯õW×õg ¢å SAQP= N k Ë ª¢å Cut= â (õW× ± z ¨ EUV Ðßë$ùk ÐKtï 193nm °öÑk * vãy¨J Lo , gQ%o Ú

ASML:迭代产品,拉大差距

资料来源:ASML,方正证券研究所

ASML旗下的TWINSCAN系列是目前世界上精度最高,生产效率最高,应用最为广泛的高端光刻机型。最新的TWINSCAN NXE:3400C可用于生产5nm的芯片,2019年共交付了9台。目前全球绝大多数半导体生产厂商,都向ASML采购TWINSCAN机型。

市场上主力机种是XT系列以及NXT系列,为ArF和KrF激光光源,XT系列是成熟的机型,分为干式和沉浸式两种,而NXT系列则是现在主推的高端机型,全部为沉浸式。

预估2021年将推出0.55NA的新机型EXE:5000样机,可用于2纳米生产。

ASML产品对比:ArFi vs EUV

ArFi (193nm) EUV (13.5nm)

透射光学(透镜) 反射光学(布拉格反射镜)

准分子激光源 激光等离子体源

浸没式(NA of water=1.33) 真空度(NA=0.33)

Page 40: ô B o s !-}g v ¯õ²6 >äÌ · Z LE3=3 ¯õW×õg ¢å LE4=4 ¯õW×õg ¢å SAQP= N k Ë ª¢å Cut= â (õW× ± z ¨ EUV Ðßë$ùk ÐKtï 193nm °öÑk * vãy¨J Lo , gQ%o Ú

ASML:创新的股权结构

2012年ASML研发获得注资

资料来源:ASML,方正证券研究所

ASML为了筹集EUV光刻机的研发资金,于2012年提出“客户联合投资计划”:客户可通过注资的方式成为股东后拥有优先订货权。这样一来,ASML的研发资金压力转移到了客户身上,客户需要为先进光刻技术的研发买单,但同时也会拥有对先进技术的优先使用权。该计划一经推出,ASML以23%的股权共筹得53亿欧元资金。

ASML在2019年共向客户交付了26台极紫外光刻机。其中,有9台是最新型号,即NXE:3400C,这些新型号的光刻机被用于7nn EUV工艺的制造。其中有一半给了台积电,其余给了三星、英特尔等有晶圆业务的公司。

ASML

三 星 台积电 英特尔41亿美元股权投资10亿美元研发支持

8.38亿欧元股权投资

ASML

资本国际集团

贝莱德集团

吉福德集团

15.2% 4.35%6.52%

2020年1月ASML股权结构变化

5.03亿欧元股权投资2.75亿欧元研发支持

注资

美国 英国

Page 41: ô B o s !-}g v ¯õ²6 >äÌ · Z LE3=3 ¯õW×õg ¢å LE4=4 ¯õW×õg ¢å SAQP= N k Ë ª¢å Cut= â (õW× ± z ¨ EUV Ðßë$ùk ÐKtï 193nm °öÑk * vãy¨J Lo , gQ%o Ú

ASML:稳固的产业生态塑造极强的护城河

资料来源:半导体设备资讯站,方正证券研究所

Page 42: ô B o s !-}g v ¯õ²6 >äÌ · Z LE3=3 ¯õW×õg ¢å LE4=4 ¯õW×õg ¢å SAQP= N k Ë ª¢å Cut= â (õW× ± z ¨ EUV Ðßë$ùk ÐKtï 193nm °öÑk * vãy¨J Lo , gQ%o Ú

ASML:营收及净利润情况

资料来源:ASML年报,方正证券研究所

在2019年下半年,内存芯片客户需求趋弱,而逻辑芯片客户需求走强。2019年ASML的净销售额为118.2亿欧元(约907.3亿人民币),同比增长8%,净利润为25.9亿欧元(约198.9亿人民币),同比增长3%。

未来逻辑芯片客户强劲的需求将弥补在存储芯片方面的需求减缓。由于半导体领域的技术创新,以及5G技术的成熟推动多种场景的落地,ASML预计未来营收将实现稳步增长。

ASML2014-2019年营收及增长 ASML2014-2019年归母净利润及其增长

0%

5%

10%

15%

20%

25%

30%

35%

0

20

40

60

80

100

120

140

2014 2015 2016 2017 2018 2019

营业收入(亿欧元) 营收同比增长

0%

10%

20%

30%

40%

50%

0.00

5.00

10.00

15.00

20.00

25.00

30.00

2014 2015 2016 2017 2018 2019

归母净利润(亿欧元) 同比增速

Page 43: ô B o s !-}g v ¯õ²6 >äÌ · Z LE3=3 ¯õW×õg ¢å LE4=4 ¯õW×õg ¢å SAQP= N k Ë ª¢å Cut= â (õW× ± z ¨ EUV Ðßë$ùk ÐKtï 193nm °öÑk * vãy¨J Lo , gQ%o Ú

ASML:2019年营收重点由存储转为逻辑

资料来源:ASML年报,方正证券研究所

从光刻机收入按下游应用拆分可以看出,逻辑芯片在2019年按下游应用拆分的光刻收入中占比73%,存储芯片占比27%,逻辑芯片成为主要来源。

从ASML营业收入按下游应用拆分可以看出,2019年之前ASML营收增长的主要动力来源于存储芯片,其营收占比从2016的22.1%,一路增长至2018的41.5%,但是在2019年实现反转,存储芯片市场需求疲软,而逻辑芯片需求逆势走强。

ASML2014年以来光刻机收入按下游应用拆分

0

20

40

60

80

100

120

140

2014 2015 2016 2017 2018 2019

已安装的基础管理 逻辑 存储

ASML2019年光刻机收入按下游应用拆分

73.00%

27.00%

逻辑 存储

Page 44: ô B o s !-}g v ¯õ²6 >äÌ · Z LE3=3 ¯õW×õg ¢å LE4=4 ¯õW×õg ¢å SAQP= N k Ë ª¢å Cut= â (õW× ± z ¨ EUV Ðßë$ùk ÐKtï 193nm °öÑk * vãy¨J Lo , gQ%o Ú

ASML:光刻机营收按产品及地区划分

资料来源:ASML,方正证券研究所

从光刻机收入按产品拆分可以看出,目前ASML的主流光刻机仍为ArFi,2019年营收占比为53%,但随着EUV被更多大厂采用,我们认为EUV占比在未来几年会迅速增长。

从ASML光刻机销售净额可以看到,三星,海力士,台积电,英特尔作为ASML的大客户,韩国,中国台湾以及美国成为了ASML光刻机的主要出货地区,2019年销售净额占比分别为18%、45%和17%。

ASML2019年光刻机销售收入按产品拆分 ASML2019年光刻机销售净额按地区拆分

Page 45: ô B o s !-}g v ¯õ²6 >äÌ · Z LE3=3 ¯õW×õg ¢å LE4=4 ¯õW×õg ¢å SAQP= N k Ë ª¢å Cut= â (õW× ± z ¨ EUV Ðßë$ùk ÐKtï 193nm °öÑk * vãy¨J Lo , gQ%o Ú

ASML:出货统计及销售额情况

从ASML光刻机2017-2019年出货统计图可以发现,其出货增长主要来源于EUV,其中EUV2019年较2018年增长8台,若以1亿欧元计价,营收将贡献8亿欧元,高端光刻机成为ASML出货增长的主要驱动力。

从ASML2019年光刻机销售额统计图可以看出,ASML2019年全球光刻机总销售额为90亿欧元,光刻机总出货为229台,平均每台光刻机价格价格为0.39亿欧元,2020年将有望迎来量价齐升。

ASML2017年以来光刻机出货统计(台) ASML2017-2019年销售额变化

0

50

100

150

200

250

2017 2018 2019

EUV ArF ArFdry KrF i-line

资料来源:ASML,方正证券研究所

63.7

82.6

90.0

0

10

20

30

40

50

60

70

80

90

100

2017 2018 2019

光刻机销售总额(亿欧元)

Page 46: ô B o s !-}g v ¯õ²6 >äÌ · Z LE3=3 ¯õW×õg ¢å LE4=4 ¯õW×õg ¢å SAQP= N k Ë ª¢å Cut= â (õW× ± z ¨ EUV Ðßë$ùk ÐKtï 193nm °öÑk * vãy¨J Lo , gQ%o Ú

ASML:盈利能力及研发支出

从长期的盈利能力来看,ASML盈利能力稳健增长。毛利率从2008年34.4%,增长到2019年的44.7%,净利率从2008年10.9%,增长到2019年的21.9%,但近几年盈利能力保持稳定。

ASML2019年研发费用高达19.6亿欧元,占营业收入比重为16.6%。ASML在光刻设备市场具有不可撼动的霸主地位,尼康和佳能难以与之抗衡的一大重要原因在于其巨额研发投入撑起高端产品的竞争力,对于ASML来讲,研发其实是研发组装技术和核心部件,因为光刻机有90%的部件是全球采购的,不是ASML生产的,这种模式比佳能和尼康的“单枪匹马”研发模式更具效率和灵活性。

2016年以来ASML毛利率与净利率变化 ASML 2016年以来研发支出情况

资料来源:wind,方正证券研究所

0

10

20

30

40

50

2016 2017 2018 2019

销售净利率% 销售毛利率%

13%

13%

14%

14%

15%

15%

16%

16%

17%

17%

0

5

10

15

20

25

2016 2017 2018 2019

研发费用(亿欧元) 研发费用占营收比例

Page 47: ô B o s !-}g v ¯õ²6 >äÌ · Z LE3=3 ¯õW×õg ¢å LE4=4 ¯õW×õg ¢å SAQP= N k Ë ª¢å Cut= â (õW× ± z ¨ EUV Ðßë$ùk ÐKtï 193nm °öÑk * vãy¨J Lo , gQ%o Ú

ASML:拳头产品EUV的优势

资料来源:ASML,方正证券研究所

成本降低15~50%

大幅度减少工艺时间

大幅提升客户的销售净利润

EUV突破了传统光刻机的7nm技术瓶颈,拥有巨大的商业前景

对比传统光刻机,EUV光刻为客户所带来的价值

5nm及以下制程,EUV将成为必备工具

技术领域的革命性突破 商业上的巨大前景

1.2倍的密度提升

同等功耗下10%的性能增幅

EUV13.5nm光刻分辨率VS

传统光刻机193nm紫外光

EUV14层掩膜层VS

传统光刻机27层掩膜层

EUV光刻机

全新晶体管结构

全新工艺材料

EUV单一图案 鳍式场效应晶体管金属栅电极叠层

技术

Page 48: ô B o s !-}g v ¯õ²6 >äÌ · Z LE3=3 ¯õW×õg ¢å LE4=4 ¯õW×õg ¢å SAQP= N k Ë ª¢å Cut= â (õW× ± z ¨ EUV Ðßë$ùk ÐKtï 193nm °öÑk * vãy¨J Lo , gQ%o Ú

光刻机行业发展趋势:EUV成为大势所趋

资料来源:中国产业信息,ASML,方正证券研究所

第一代 第二代 第三代 第四代 第五代

波长(nm)

0

100

200

500

400

300

436

365

248

193

13.5

光源:g-line接触接近式

800-250nm制程

光源:i-line接触接近式

800-250nm制程

光源:KrF扫描投影式

180-130nm制程

光源:ArF步进投影式130-65nm制程浸没步进式45-22nm制程 光源:EUV

极紫外式22-7nm制程

Dry

EUV

ArFi

2025E

目前AMSL一半以上的收入来自于ArFi,但是预计2025年EUV将会给ASML带来超过75%的营收

AMSL光刻机收入占比

Page 49: ô B o s !-}g v ¯õ²6 >äÌ · Z LE3=3 ¯õW×õg ¢å LE4=4 ¯õW×õg ¢å SAQP= N k Ë ª¢å Cut= â (õW× ± z ¨ EUV Ðßë$ùk ÐKtï 193nm °öÑk * vãy¨J Lo , gQ%o Ú

EUV光刻机:引领未来

ASML当前的生产是使用0.33NA数值孔径系统完成的,未来计划在3nm处引入0.55NA的形变镜头,可以提高光刻机的分辨率和生产率。

毫无疑问,EUV现在是用于领先工艺的关键光刻的首选解决方案,将支持未来十年的应用。

资料来源:ASML,方正证券研究所

EUV技术扩展到0.33NA和0.55NA支持超出了未来十年的应用

EUV0.55NA

EUV将延伸到0.33NA以提供最先进广泛的覆盖和节点到节点的生产力改进

EUV0.33NA

分辨率

2016 2017 2018 2019 2020 2021 2022 2023 …2025

NXE3350B

•2.5nm|125wph

NXE:3400B

•2.0nm|125wph

Overlay

•1.5nm

Tput

•155

NXE:3400C

•1.5nm|170wph

NXE Next

•<1.1nm|≥185wph

EUV0.33NA

0.55NA

分辨率

High NA

•1.1nm |185wph

3nm处引入0.55NA

Page 50: ô B o s !-}g v ¯õ²6 >äÌ · Z LE3=3 ¯õW×õg ¢å LE4=4 ¯õW×õg ¢å SAQP= N k Ë ª¢å Cut= â (õW× ± z ¨ EUV Ðßë$ùk ÐKtï 193nm °öÑk * vãy¨J Lo , gQ%o Ú

ASML客户扩建情况

资料来源:ASML,方正证券研究所

各大代工厂扩建来安装EUV系统,用以提升工艺水平

Page 51: ô B o s !-}g v ¯õ²6 >äÌ · Z LE3=3 ¯õW×õg ¢å LE4=4 ¯õW×õg ¢å SAQP= N k Ë ª¢å Cut= â (õW× ± z ¨ EUV Ðßë$ùk ÐKtï 193nm °öÑk * vãy¨J Lo , gQ%o Ú

ASML中国业务随着行业的发展而增长

资料来源:ASML,方正证券研究所

ASML在中国的系统销售和员工

13 遍布中国的办事处

2 研发中心

1 培训中心

1 仓库1000 员工

通过在中国开设公司,ASML在中国的销售快速增长:

中国北部(2个新晶圆厂)

中国西部(2个新晶圆厂)

中国东部(3个新晶圆厂)

中国中部(2个新晶圆厂)

上海(2个新晶圆厂)

中国南部(4个新晶圆厂)

系统销售额

员工

ASML在中国的布局逐渐深化,设立了多家工厂、研发中心,拥有1000多名员工。随着国产光刻机业务的不断发展,ASML在中国的业务也不断增长。

Page 52: ô B o s !-}g v ¯õ²6 >äÌ · Z LE3=3 ¯õW×õg ¢å LE4=4 ¯õW×õg ¢å SAQP= N k Ë ª¢å Cut= â (õW× ± z ¨ EUV Ðßë$ùk ÐKtï 193nm °öÑk * vãy¨J Lo , gQ%o Ú

光刻机未来前景

受益于5G时代、AI、自动驾驶等技术的普及,ASML 光刻机的订单和收入强劲增长有望在未来几年持续,2025 年ASML预计实现收入500亿美元左右,年均复合增长率为15.8%。

资料来源:ASML,方正证券研究所

CAGR=15.8%

智能手机+APP时代

个人电脑+浏览器时代

百万

自动驾驶 人工智能

大数据 虚拟现实

0

100

200

300

400

500

600

2017 2018 2019 2020 2021 2022 2023 2024 2025

全球光刻机市场规模(亿美元)

Page 53: ô B o s !-}g v ¯õ²6 >äÌ · Z LE3=3 ¯õW×õg ¢å LE4=4 ¯õW×õg ¢å SAQP= N k Ë ª¢å Cut= â (õW× ± z ¨ EUV Ðßë$ùk ÐKtï 193nm °öÑk * vãy¨J Lo , gQ%o Ú

资料来源:尼康,方正证券研究所

尼康:发挥面板光刻比较优势

尼康(Nikon),是日本的一家著名相机制造商,成立于1917年,当时名为日本光学工业株式会社。1988年该公司依托其照相机品牌,更名为尼康株式会社。

尼康最早通过相机和光学技术发家,1980年开始半导体光刻设备研究,1986年推出第一款FPD光刻设备,2006推出ArF液浸式扫描光刻机。如今,尼康既是相机制造商,也是半导体和面板光刻设备制造商,还生产护目镜,双筒望远镜,显微镜,勘测器材等,业务覆盖范围广泛。

Page 54: ô B o s !-}g v ¯õ²6 >äÌ · Z LE3=3 ¯õW×õg ¢å LE4=4 ¯õW×õg ¢å SAQP= N k Ë ª¢å Cut= â (õW× ± z ¨ EUV Ðßë$ùk ÐKtï 193nm °öÑk * vãy¨J Lo , gQ%o Ú

尼康:业务拆分及应用领域

尼康的业务可拆分成四大事业部:影像事业、精机事业、医疗健康事业以及工业仪器及其他。

其中,精机事业是指通过制造LCD液晶面板和有机电致发光(OLED)面板的平板显示(FPD)曝光装置,以及制造用于半导体生产的半导体曝光装置的开发与研究,推动超智能社会的实现。

集成电路芯片和高分辨率平板显示器是推动IoT和AI进步的关键。尼康从事这些部件的电路图曝光制造系统的研发和生产,助力智能社会的创建。

尼康产品主要应用领域

资料来源:尼康,方正证券研究所

Page 55: ô B o s !-}g v ¯õ²6 >äÌ · Z LE3=3 ¯õW×õg ¢å LE4=4 ¯õW×õg ¢å SAQP= N k Ë ª¢å Cut= â (õW× ± z ¨ EUV Ðßë$ùk ÐKtï 193nm °öÑk * vãy¨J Lo , gQ%o Ú

尼康:发挥面板光刻比较优势

在FPD光刻方面,尼康则可发挥其比较优势。尼康的机器范围广泛,从采用独特的多镜头投影光学系统处理大型面板到制造智能设备中的中小型面板,为全球领先的制造商提供多样化的机器。

尼康平板显示器的制造工艺以及FPD曝光装置

资料来源:尼康,方正证券研究所

掩模板

玻璃面板 接收测试 曝光 检测和维修

整列工艺(TFT制作工艺)

FPD曝光装置

电池和模块工艺

玻璃面板尺寸

第六代:1500*1850mm

第8代:2200*2500mm

第10.5代:2940*3370mm

Page 56: ô B o s !-}g v ¯õ²6 >äÌ · Z LE3=3 ¯õW×õg ¢å LE4=4 ¯õW×õg ¢å SAQP= N k Ë ª¢å Cut= â (õW× ± z ¨ EUV Ðßë$ùk ÐKtï 193nm °öÑk * vãy¨J Lo , gQ%o Ú

尼康:营收下降加速,归母净利下滑

尼康在经历了2017年和2018年利润的大幅增长后,2019年遭遇业绩大幅滑坡。据尼康2019

年(2019年4月至2020年3月)财报显示,该年度公司合并年营收下滑至5,910.12亿日元,下滑幅度16.6%,扣非后归母净利润下滑至76.93亿日元,下滑幅度高达88.40%。

图像产品收入同比减少最为严重,2020年受到舆情的影响,市场对于高端产品的需求反弹缓慢,不排除连续明年亏损的可能性。

尼康2014-2019年营收及增长率 尼康2014-2019年扣非后归母净利润及增长率

资料来源:尼康,方正证券研究所

-20%

-15%

-10%

-5%

0%

5%

10%

15%

0

100,000

200,000

300,000

400,000

500,000

600,000

700,000

800,000

900,000

1,000,000

2014 2015 2016 2017 2018 2019

营收(百万日元) 同比增长%

-200%

-100%

0%

100%

200%

300%

400%

500%

600%

700%

800%

900%

0

10,000

20,000

30,000

40,000

50,000

60,000

70,000

2014 2015 2016 2017 2018 2019

归母净利润(百万日元) 同比增长

Page 57: ô B o s !-}g v ¯õ²6 >äÌ · Z LE3=3 ¯õW×õg ¢å LE4=4 ¯õW×õg ¢å SAQP= N k Ë ª¢å Cut= â (õW× ± z ¨ EUV Ðßë$ùk ÐKtï 193nm °öÑk * vãy¨J Lo , gQ%o Ú

尼康:营收结构分析

从营收产品结构来看,影像事业和精密设备2019年分别实现营收2973亿日元以及2749亿日元,合计占比超过70%,成为支撑营收的主要来源。

从营收地区结构来看,尼康面向全球,提供用于智能设备高清晰面板的制造装置、长期以来深受人们喜爱的照相机等各种产品,其销售额的80%以上来自日本以外,2019年日本地区营收占比只有13%。

尼康2019年营收地区结构尼康2019年营收产品结构

资料来源:Wind,方正证券研究所

38.57%

35.58%

8.44%

17.27%

影像产品 精密设备 医疗健康 工业设备及其他

13.02%

24.29%

16.68%

28.15%

17.86%

日本 美国 欧洲 中国 其他

Page 58: ô B o s !-}g v ¯õ²6 >äÌ · Z LE3=3 ¯õW×õg ¢å LE4=4 ¯õW×õg ¢å SAQP= N k Ë ª¢å Cut= â (õW× ± z ¨ EUV Ðßë$ùk ÐKtï 193nm °öÑk * vãy¨J Lo , gQ%o Ú

尼康:光刻机贡献利润巨大

从毛利率走势来看,尼康毛利率整体呈现上涨走势,从2009的29.7%,不断增长至2019年的超过40%,盈利能力不断增强。而其净利率也在19年翻了一番。

从营业利润结构来看,精密设备以35%的营收占比,支撑75%的营收利润,可见在尼康的盈利体系中,光刻机占据主导地位,是盈利的主要来源。

尼康2016-2019年毛利率走势图 尼康2019年营业利润结构

资料来源:Wind,方正证券研究所

20%

75%

-2%6%

影像产品 精密设备 医疗健康 工业设备及其他

0%

5%

10%

15%

20%

25%

30%

35%

40%

45%

50%

2016 2017 2018 2019

销售毛利率 销售净利率

Page 59: ô B o s !-}g v ¯õ²6 >äÌ · Z LE3=3 ¯õW×õg ¢å LE4=4 ¯õW×õg ¢å SAQP= N k Ë ª¢å Cut= â (õW× ± z ¨ EUV Ðßë$ùk ÐKtï 193nm °öÑk * vãy¨J Lo , gQ%o Ú

尼康:光刻机出货量结构

从尼康光刻机出货量可以看出,尼康光刻机以面板光刻为主,通过发挥面板光刻的比较优势,尼康历年FPD光刻机的出货量在70%左右。2019年,Nikon面板(FPD)用光刻机出货40台,较2018年减少33台。但其10.5代线用光刻机出货量从2018年出货14台增长到2019年的22台。

从芯片光刻机出货量可以看出,尼康在芯片光刻技术上远不及ASML,目前的产品还停留在ArF和KrF光源,和ASML的EUV难以相提并论。

尼康光刻机出货量(单位:台) 尼康芯片光刻机出货量(单位:台)

资料来源:Wind,方正证券研究所

0

20

40

60

80

100

120

2017 2018 2019

芯片光刻机 面板光刻机

0

5

10

15

20

25

30

35

40

45

50

2017 2018 2019

ArFi ArF KrF i-line

Page 60: ô B o s !-}g v ¯õ²6 >äÌ · Z LE3=3 ¯õW×õg ¢å LE4=4 ¯õW×õg ¢å SAQP= N k Ë ª¢å Cut= â (õW× ± z ¨ EUV Ðßë$ùk ÐKtï 193nm °öÑk * vãy¨J Lo , gQ%o Ú

资料来源:Wind,方正证券研究所

尼康:研发投入情况

尼康研发投入持续增长,但其中对于光刻设备的投入比重却在下降。从2008年260亿日元一路下降至2017年160亿日元,到2019年才勉强恢复到2016年的水平。可以看出,随着芯片光刻机进入EUV时代,尼康在高端光刻机领域逐渐力不从心,佳能更是直接退出高端的竞争,ASML从此奠定垄断地位。

佳能与尼康2019年研发占营业收入比重仅为8%左右,远低于ASML17%。

尼康FY2016年以来研发支出情况 2015年以来三家公司研发占营收比重对比

0%

2%

4%

6%

8%

10%

12%

14%

16%

18%

2015 2016 2017 2018 2019

ASML Nikon Cannon

Page 61: ô B o s !-}g v ¯õ²6 >äÌ · Z LE3=3 ¯õW×õg ¢å LE4=4 ¯õW×õg ¢å SAQP= N k Ë ª¢å Cut= â (õW× ± z ¨ EUV Ðßë$ùk ÐKtï 193nm °öÑk * vãy¨J Lo , gQ%o Ú

资料来源:尼康,方正证券研究所

尼康:最新的顶尖制程光刻机产品

尼康旗下最新的光刻机产品为ArF液浸式扫描光刻机NSR-S635E,搭载高性能对准站inline Alignment Station(iAS),曝光光源ArF 准分子激光器(193纳米波长),分辨率≦38nm,这款光刻机专为5nm工艺制程量产而开发,与阿斯麦的高端光刻机NXT2000i可以一较高下。

ArF液浸式扫描光刻机NSR-S635E指标

分辨率

NA 1.35

曝光光源ArF 准分子激光器(193纳米波长)

缩小倍率 1:4

最大曝光范围

重合精度

产出

尼康NSR-S635E

Page 62: ô B o s !-}g v ¯õ²6 >äÌ · Z LE3=3 ¯õW×õg ¢å LE4=4 ¯õW×õg ¢å SAQP= N k Ë ª¢å Cut= â (õW× ± z ¨ EUV Ðßë$ùk ÐKtï 193nm °öÑk * vãy¨J Lo , gQ%o Ú

资料来源:佳能官网,方正证券研究所

佳能:光电为主,光刻为辅

佳能是日本的一家全球领先的生产影像与信息产品的综合集团,在20世纪90年代早期,佳能推出了其i-line光刻设备,并实现了小型化水平,使350nm模式成为可能。后来,开发了更短波长的光源,最终在21世纪后期开发了ArF浸没式光刻设备,实现了38nm模式。

Page 63: ô B o s !-}g v ¯õ²6 >äÌ · Z LE3=3 ¯õW×õg ¢å LE4=4 ¯õW×õg ¢å SAQP= N k Ë ª¢å Cut= â (õW× ± z ¨ EUV Ðßë$ùk ÐKtï 193nm °öÑk * vãy¨J Lo , gQ%o Ú

佳能:主营业务拆分

佳能一般将业务划分为四个分部:办公产品、影像系统产品、医疗系统和产业设备及其他。 通过能够实现半导体电路图案小型化的技术,芯片性能得到了显著提高。佳能开发和制造最

先进的半导体光刻设备,用于压印电路图案,实现小型化和提高生产率。目前,我们正在进行纳米压印光刻技术的下一代半导体制造设备的研发,这将进一步实现小型化和降低成本。

资料来源:佳能官网,方正证券研究所

佳能业务拆分

办公产品

影像系统产品

医疗系统

产业设备及其他 全球占比26%

全球占比56%

FPD全球占比第一

芯片光刻设备全球占比第二

Page 64: ô B o s !-}g v ¯õ²6 >äÌ · Z LE3=3 ¯õW×õg ¢å LE4=4 ¯õW×õg ¢å SAQP= N k Ë ª¢å Cut= â (õW× ± z ¨ EUV Ðßë$ùk ÐKtï 193nm °öÑk * vãy¨J Lo , gQ%o Ú

佳能:光刻工艺与纳米压印光刻对比

随着各公司试图在EUV光源等方面取得突破,佳能选择了不同的方法。该公司试图通过一种以更低成本实现小型化的新技术,而不是试图缩短光波长。该技术被称为纳米压印光刻(NIL),并且预期其将导致半导体工业中的创新,因为其使得可以通过使用简单工艺以15nm或更小的规模以更低成本制造图案。

资料来源:佳能官网,方正证券研究所

光刻工艺与纳米压印光刻对比

光刻

NIL

抗蚀剂(树脂) 光线 移除

抗蚀剂(树脂)

硅片

硅片

紫外线

模具

化学反应

模具

Page 65: ô B o s !-}g v ¯õ²6 >äÌ · Z LE3=3 ¯õW×õg ¢å LE4=4 ¯õW×õg ¢å SAQP= N k Ë ª¢å Cut= â (õW× ± z ¨ EUV Ðßë$ùk ÐKtï 193nm °öÑk * vãy¨J Lo , gQ%o Ú

佳能:营收和利润均下滑

2019年营业收入下降9.07%至3.59万亿日元,扣非后归母净利润下降50%至1251亿日元。

随着智能手机功能升级,数码相机市场缩小,半导体市场行情恶化,这些因素对佳能业绩造成打击。不过,佳能从东芝手中收购的医疗器械部门等表现坚挺,预计下一财年将转为利润增加。

佳能2016年以来营收及增长 佳能2016年以来扣非后归母净利润及增长

资料来源:Wind,方正证券研究所

-15%

-10%

-5%

0%

5%

10%

15%

20%

25%

3000

3200

3400

3600

3800

4000

4200

2016 2017 2018 2019

营收(十亿日元) 同比(%)

-60%

-40%

-20%

0%

20%

40%

60%

80%

0

50

100

150

200

250

300

2016 2017 2018 2019扣非后归母净利润(十亿日元) 同比增长%

Page 66: ô B o s !-}g v ¯õ²6 >äÌ · Z LE3=3 ¯õW×õg ¢å LE4=4 ¯õW×õg ¢å SAQP= N k Ë ª¢å Cut= â (õW× ± z ¨ EUV Ðßë$ùk ÐKtï 193nm °öÑk * vãy¨J Lo , gQ%o Ú

佳能:光刻设备出货量和营收情况

从2016年到2018年光刻设备尤其是芯片光刻设备的销售量显著上升。但在2019年Canon光刻机遭到了大幅冲击,营收约为1650亿日元,较2018年下降21.3%。Canon半导体用光刻机出货达84台,较2018年出货量114台,减少30台,下滑26.3%。

2019年受到半导体行情下滑的影响以及不断被ASML不断压制夺走市场份额,佳能光刻机设备业绩下滑,反映出佳能在光刻设备市场上竞争力下降,议价能力不足,归根结底还是研发投入不足,技术无法满足高端市场要求,与ASML差距越拉越大。

佳能FY2016以来光刻设备出货量情况(台) 佳能FY2016以来光刻设备营收

0

0.5

1

1.5

2

2.5

2016 2017 2018 2019

光刻机销售额(千亿日元)

资料来源:Wind,方正证券研究所

芯片光刻机

0

20

40

60

80

100

120

140

160

180

200

2016 2017 2018 2019

KrF i-Line FPD

Page 67: ô B o s !-}g v ¯õ²6 >äÌ · Z LE3=3 ¯õW×õg ¢å LE4=4 ¯õW×õg ¢å SAQP= N k Ë ª¢å Cut= â (õW× ± z ¨ EUV Ðßë$ùk ÐKtï 193nm °öÑk * vãy¨J Lo , gQ%o Ú

佳能:毛利率和净利率及研发费用

2019年毛利率和净利率较2018年双双下滑。欧洲经济放缓和芯片市场下滑,同时日益萎缩的相机消费市场也将对佳能造成不利影响。2019年佳能的研发费用触底反弹,但还是低于2017年的水平,其产品缺乏竞争力是毛利率和净利率下滑的主要原因。

佳能2016-2019年毛利率和净利率走势图 佳能2016-2019年研发费用走势图

资料来源:Wind,方正证券研究所

0%

10%

20%

30%

40%

50%

60%

2016 2017 2018 2019

销售毛利率 销售净利率

7%

8%

8%

8%

8%

8%

9%

9%

9%

9%

285

290

295

300

305

310

315

320

325

330

335

340

2016 2017 2018 2019

研发费用(十亿日元) 研发占比

Page 68: ô B o s !-}g v ¯õ²6 >äÌ · Z LE3=3 ¯õW×õg ¢å LE4=4 ¯õW×õg ¢å SAQP= N k Ë ª¢å Cut= â (õW× ± z ¨ EUV Ðßë$ùk ÐKtï 193nm °öÑk * vãy¨J Lo , gQ%o Ú

一、光刻机行业投资逻辑框架

二、光刻机概览:现代光学工业之花

三、光刻机的全球视角

四、光刻机国产化之路:前路漫漫,曙光微现

目录

02专项:众志成城,技术突破

国产光刻机市场空间与工艺水平剖析

探寻国产光刻机产业链投资机会

Page 69: ô B o s !-}g v ¯õ²6 >äÌ · Z LE3=3 ¯õW×õg ¢å LE4=4 ¯õW×õg ¢å SAQP= N k Ë ª¢å Cut= â (õW× ± z ¨ EUV Ðßë$ùk ÐKtï 193nm °öÑk * vãy¨J Lo , gQ%o Ú

02专项:众志成城,技术突破。在 02专项的十三五规划中,突破28nm浸没式光刻机及核心组件被列入战略目标,举全国之力,汇集顶级科研人才开启“光刻机双工件台系统样机研发”项目、“超分辨光刻装备研制”项目、“极紫外光刻关键技术研究”项目,现均取得重大突破。

国产光刻机市场空间与工艺水平剖析。随着第三次全球半导体产业向中国转移,国内晶圆厂投资加速,光刻机作为新建晶圆厂的核心资本支出,市场空间进一步打开。28nm作为当前关键技术节点,工艺制程从90nm突破至28nm,对于国产替代具有重大战略意义。

探寻国产光刻机产业链投资机会。实现光刻机的国产替代并不是某一企业能够单独完成的,需要光刻产业链的顶尖企业相互配合。光刻产业链可拆分为两个部分,一是光刻机核心组件,包括光源、镜头、双工作台、浸没系统等关键子系统,二是光刻配套设施,包括光刻胶、光掩模版、涂胶显影设备等。上海微电子将在2021年交付的28nm光刻机正是源于以下核心企业以举国之力在各自细分领域的技术突破:上海微电子负责光刻机设计和总体集成,北京科益虹源提供光源系统,北京国望光学提供物镜系统,国科精密提供曝光光学系统,华卓精科提供双工作台,浙江启尔机电提供浸没系统。

核心要点

Page 70: ô B o s !-}g v ¯õ²6 >äÌ · Z LE3=3 ¯õW×õg ¢å LE4=4 ¯õW×õg ¢å SAQP= N k Ë ª¢å Cut= â (õW× ± z ¨ EUV Ðßë$ùk ÐKtï 193nm °öÑk * vãy¨J Lo , gQ%o Ú

资料来源:中科院长春光机所官网,上海微电子官网,方正证券研究所

中国光刻机发展历程图

光刻机国产化之路:路漫漫其修远兮

中国的光刻机发展起源于20世纪70年代,伴随着半导体行业研究的兴起,中国于1977年研发成功第一台光刻机,1978-1985年先后研制成功三台光刻机,当时中国的半导体产业虽然没有达到当时世界先进水平,但是差距并不大。80年代底,由于中国信奉“造不如买”的发展理念,导致中国半导体行业停滞不前,直到2002年,国家开始重视光刻机的研发。至今18年的时间里,中国在逐步缩小和国际光刻机巨头的差距,路漫漫其修远兮,上海微电子等光刻机企业的崛起,表明中国正在追赶的路上坚定不移、勇往无前。

Page 71: ô B o s !-}g v ¯õ²6 >äÌ · Z LE3=3 ¯õW×õg ¢å LE4=4 ¯õW×õg ¢å SAQP= N k Ë ª¢å Cut= â (õW× ± z ¨ EUV Ðßë$ùk ÐKtï 193nm °öÑk * vãy¨J Lo , gQ%o Ú

资料来源:科塔学术,方正证券研究所

02专项——极大规模集成电路制造技术及成套工艺专项

部分参与单位 参与项目

中电科电子装备集团有限公司

电科装备重点突破了离子注入、化学机械抛光(CMP )、先进封装等集成电路关键工艺装备核心技术

北方华创科技集团股份有限公司

65-45nm PVD设备研发项目;“45-32nmLPCVD设备产业化”项目;“14nm立体栅等离子体刻蚀机研发及产业化”项目等

上海微电子装备(集团)股份有限

公司

高端扫描投影光刻机研制和先进封装光刻机产业化;“浸没光刻机关键技术预研项目”;90nm、65nm光刻机样机研制等

天水华天科技股份有限公司

“多圈V/UQFN、FCQFN和AAQFN封装工艺技术研发及产业化”项目;课题“通讯与多媒体芯片封装设备与材料应用工程”

为推动我国集成电路制造产业的发展,提升我国集成电路制造装备、工艺及材料技术的自主创新能力,充分调动国内力量为重大专项的有效实施发挥作用,国家决定实施科技重大专项《极大规模集成电路制造装备及成套工艺》项目。

《极大规模集成电路制造技术及成套工艺》项目,因次序排在国家重大专项所列16个重大专项第二位,在行业内被称为“02专项”。

02专项十三五目标 02专项部分参与单位

Page 72: ô B o s !-}g v ¯õ²6 >äÌ · Z LE3=3 ¯õW×õg ¢å LE4=4 ¯õW×õg ¢å SAQP= N k Ë ª¢å Cut= â (õW× ± z ¨ EUV Ðßë$ùk ÐKtï 193nm °öÑk * vãy¨J Lo , gQ%o Ú

02专项“光刻机双工件台系统样机研发”项目

资料来源:清华大学新闻网,方正证券研究所

2016年4月,清华大学牵头的02专项“光刻机双工件台系统样机研发”项目成功通过验收,标志中国在双工件台系统上取得技术突破。

研究团队历经5年时间突破了平面电机、微动台、超精密测量、超精密运动控制、系统动力学分析、先进工程材料制备及应用等若干关键技术,攻克了光刻机工件台系统设计和集成技术,通过多轮样机的迭代研发,最终研制出2套光刻机双工件台掩模台系统α样机,达到了预定的全部技术指标,关键技术指标已达到国际同类光刻机双工件台的技术水平。

光刻机双工作台样机 项目验收现场

Page 73: ô B o s !-}g v ¯õ²6 >äÌ · Z LE3=3 ¯õW×õg ¢å LE4=4 ¯õW×õg ¢å SAQP= N k Ë ª¢å Cut= â (õW× ± z ¨ EUV Ðßë$ùk ÐKtï 193nm °öÑk * vãy¨J Lo , gQ%o Ú

02专项“极紫外光刻关键技术研究”项目

光刻技术是集成电路制造产业的核心,决定着集成电路的元件特征尺寸。伴随半导体产业摩尔定律延续,极紫外光刻(EUVL)被公认为是最具潜力的下一代光刻技术。

极紫外光刻:使用波长为13.5nm的极紫外光,是传统投影光刻技术向更短波长的延伸,正处于产业化的临界点。作为工业制造领域尖端技术的融合,世界上只有少数几家研究机构及公司掌握此技术。目前,EUV光刻技术的国际垄断局面已经初步形成,目前全球只有ASML一家能够提供波长为13.5nm的EUV光刻设备。

资料来源:ASML官网,方正证券研究所

EUV光刻技术,可提供3倍→5倍的分辨率增强

光刻机历代工艺 第五代光刻机光源

Page 74: ô B o s !-}g v ¯õ²6 >äÌ · Z LE3=3 ¯õW×õg ¢å LE4=4 ¯õW×õg ¢å SAQP= N k Ë ª¢å Cut= â (õW× ± z ¨ EUV Ðßë$ùk ÐKtï 193nm °öÑk * vãy¨J Lo , gQ%o Ú

02专项“极紫外光刻关键技术研究”项目

2016年11月15日,由长春光机所牵头承担的国家科技重大专项02专项——“极紫外光刻关键技术研究”项目顺利完成验收前现场测试。在长春光机所、成都光电所、上海光机所、中科院微电子所、北京理工大学、哈尔滨工业大学、华中科技大学等参研单位的共同努力下,历经八年的戮力攻坚,圆满地完成了预定的研究内容与攻关任务,为我国光刻技术的可持续发展奠定了坚实的基础。突破了现阶段制约我国极紫外光刻发展的核心光学技术,初步建立了适应于极紫外光刻曝光光学系统研制的加工、检测、镀膜和系统集成平台,

项目成果:在EUV光学系统协同设计、膜厚控制精度达原子量级的EUV多层膜技术、深亚纳米量级的超光滑非球面加工与检测技术、超高精度物镜系统波像差检测及集成技术等方面,突破了一系列EUVL工程化关键技术瓶颈;成功研制了小视场EUVL曝光光学系统,投影物镜波像差优于0.75nm(RMS),构建了EUVL静态曝光装置,获得32nm线宽的光刻胶曝光图形;建立了EUVL关键技术验证及工艺测试平台。

EUV研发的五大难题

问题类型 原因 措施

光线吸收问题13.5nm波长的射线非常容易被各种

材料吸收保证真空环境,使用气闸

射线弯曲 应对EUV被玻璃吸收问题改变射线走向,用布拉格反射镜

代替透镜

射线功率 多重吸收损耗过多 保证射线中心焦点功率

掩膜板 保护掩膜板&高效方式验证其无暇需制造出能够抵抗 EUV 破坏的

护膜

光刻胶 对EUV吸收效果&量子随机性 仍然难以克服

资料来源:中科院长春光机所官网,电子发烧友网,方正证券研究所

Page 75: ô B o s !-}g v ¯õ²6 >äÌ · Z LE3=3 ¯õW×õg ¢å LE4=4 ¯õW×õg ¢å SAQP= N k Ë ª¢å Cut= â (õW× ± z ¨ EUV Ðßë$ùk ÐKtï 193nm °öÑk * vãy¨J Lo , gQ%o Ú

02专项“超分辨光刻装备研制”项目

2018年11月,中科院光电技术研究所承担的“超分辨光刻装备研制”项目通过验收,该装备在365nm光源波长下,单次曝光最高线宽分辨力达到22nm,项目在原理上突破分辨力衍射极限,建立了一条高分辨、大面积的纳米光刻装备研发新路线。

此次装备打破了传统路线格局,形成一条全新的纳米光学光刻技术路线,具有完全自主知识产权,为超材料/超表面、第三代光学器件、广义芯片等变革性领域的跨越式发展提供了制造工具。装备制造的相关器件已在中国航天科技集团公司第八研究院、电子科技大学、四川大学华西医院、中科院微系统所等多家科研院所和高校的重大研究任务中得到应用。

光电所研制的超分辨光刻机

资料来源:中新网,方正证券研究所

超分辨光刻机研制的意义

Page 76: ô B o s !-}g v ¯õ²6 >äÌ · Z LE3=3 ¯õW×õg ¢å LE4=4 ¯õW×õg ¢å SAQP= N k Ë ª¢å Cut= â (õW× ± z ¨ EUV Ðßë$ùk ÐKtï 193nm °öÑk * vãy¨J Lo , gQ%o Ú

资料来源:瓦森纳协定,方正证券研究所

瓦森纳协定及新增——中国光刻机发展的坎坷之旅

《瓦森纳协定》:又称瓦森纳安排机制,全称为《关于常规武器和两用物品及技术出口控制的瓦森纳协定》,目前共有包括美国、日本、英国、俄罗斯等42个成员国(不包括中国)。

瓦森纳协定新增:2019年年底,就在国内12英寸大硅片(300mm晶圆)项目纷涌而起之际新修订的《瓦森纳协议》中,增加了两条有关半导体领域的出口管制内容,主要涉及计算光刻软件以及12英寸大硅片生产制造技术。

控制清单: 1、军品清单,包含各种武器弹药、军事装备等;2、针对军民两用的一些产品及技术的清单,包括特殊材料及相关设备、材料加工、电子、计算机、电子通信与信息安全、传感与激光、航海与航空、船舶、航空推进系统共九个大类。

协议中针对军民两用的产品和技术控制清单

1、特殊材料及设备

2、材料加工

3、电子

4、计算机5、电子通信与网安

6、传感与激光

7、航海与航空

8、船舶 9、航空推进系统

Page 77: ô B o s !-}g v ¯õ²6 >äÌ · Z LE3=3 ¯õW×õg ¢å LE4=4 ¯õW×õg ¢å SAQP= N k Ë ª¢å Cut= â (õW× ± z ¨ EUV Ðßë$ùk ÐKtï 193nm °öÑk * vãy¨J Lo , gQ%o Ú

ASML光刻设备技术服务(无锡)基地签约仪式顺利举行

由于缺乏关键性技术,国外很多企业都只能够从荷兰进口光刻机,供不应求,这就使得中芯国际购买ASML的光刻机需要等待较长的时间,再加上瓦森纳协定对我国芯片技术的限制,使得这台光刻机的交付经历了一波波折。如今荷兰ASML的光刻机到位,中芯国际将通过这台光刻机实现该企业生产线的扩张,从而保障及时为华为供给麒麟系列芯片。

2020年5月14日,ASML光刻设备技术服务(无锡)基地签约仪式举行。阿斯麦(ASML)光刻设备技术服务(无锡)升级后的基地涵盖两大业务板块:一是专业团队的技术中心,从事光刻设备的维护、升级等技术服务;二是供应链服务中心,为客户提供高效的供应链服务,为设备安装,升级及生产运营等所需的物料提供更高水准的物流支持。

ASML光刻机到达国内 光刻设备技术服务基地签约仪式

资料来源:中芯国际,ASML,方正证券研究所

Page 78: ô B o s !-}g v ¯õ²6 >äÌ · Z LE3=3 ¯õW×õg ¢å LE4=4 ¯õW×õg ¢å SAQP= N k Ë ª¢å Cut= â (õW× ± z ¨ EUV Ðßë$ùk ÐKtï 193nm °öÑk * vãy¨J Lo , gQ%o Ú

资料来源:国产IC,方正证券研究所

中国晶圆厂布局现状

光刻机作为集成电路设备产业的重要组成环节,二者之间的关系密不可分,国内晶圆厂的扩建速度越快,对于光刻机的需求越迫切。

近年来,多个12英寸晶圆厂项目落地中国大陆。SEMI的数据显示,2017-2020年间全球投产的半导体晶圆厂为62座,其中有26座设于中国大陆,占全球总数的42%。

目前中国大陆12英寸晶圆厂的投资及生产情况:中国大陆在12英寸晶圆厂方面已投资数千亿美元,产品涉及多个领域与制程,多个项目已经在运行当中,其余项目将在未来2-3年内陆续投产。除去目前已经停摆的两个项目(成都格芯和德科玛南京),目前中国大陆共计有31座在建/已建的12英寸晶圆厂,28座8英寸在建/已建/规划中的8英寸晶圆厂,项目主要集中在北京、成都、重庆及江浙一带。

2017-2020年全球新建晶圆厂数量

58% 2642%

全球其他国家晶圆厂数量 中国晶圆厂数量

Page 79: ô B o s !-}g v ¯õ²6 >äÌ · Z LE3=3 ¯õW×õg ¢å LE4=4 ¯õW×õg ¢å SAQP= N k Ë ª¢å Cut= â (õW× ± z ¨ EUV Ðßë$ùk ÐKtï 193nm °öÑk * vãy¨J Lo , gQ%o Ú

公司产线 地点 投资额规划产能

(万片/月)制程/产品 状态

中芯国际 北京 N/A 5 0.18um-55mm 运行中中芯国际(多数控股) 北京 N/A 3.5 65-28um HKMG 运行中

中芯国际S2A 上海 N/A 1 FinFET 研发用中芯国际SN1 上海 合计102亿美

元3.5 14-10nmFinFET 布建产能

中芯国际SN2 上海 3.5 28-14nmCMOS 设备已搬入中芯国际 深圳 106亿美元 0.5 90-40nmCMOS 运行中

华虹电力(华虹Fab7) 无锡 100亿美元 4 90-65/55nm特色工艺 试生产华力微电子(华虹Fab5) 上海 219亿元 3.5 55-40-28nmCMOS 运行中华力微电子(华虹Fab6) 上海 387亿元 4 28-20-14nmCMOS 开工

紫光集团 南京 300亿美元 10 Dram/NAND 建设中紫光集团 成都 30亿美元 10 Dram/NAND 建设中武汉新芯 武汉 N/A 6-7 NOR Flash 扩产中长江存储 武汉 240亿美元 12 14-20nm 运行中晋华集成 泉州 370亿元 6 32-20nmDram 建设中合肥长鑫 合肥 72亿美元 12.5 19nmDRAM 良率提升及量产准备中晶合集成 合肥 128亿元 6 66-65nmLCD驱动 运行中联芯集成 厦门 62亿美元 5 40-28nmCMOS 运行中台积电 南京 70亿美元 12 16nmFinFET 运行中

三星(一期) 西安 100亿美元 10 20-10nmNAND 运行中三星(二期扩建) 西安 70亿美元 10 20-10nmNAND 在建

格芯 成都 N/A 2+6 0.18-0.13um及22nmFD-SOI 停工

海力士 无锡 N/A 10 90-40nmDRAM 运行中海力士 无锡 N/A 45-25nmDRAM 扩建中AOS 重庆 10亿美元 2+5 功率器件 试生产粤芯 广州 70亿元 3 0.18-0.13umCMOS 已投片

积塔半导体 上海 N/A 6 建设中江苏时代 淮安 130亿元 1 相变存储器 运行中士兰微 厦门 170亿元 8 90-65nm特色工艺 尚未开工英特尔 大连 N/A 12 60-40nmNAND 扩建中

芯恩集成 青岛 150亿元 N/A N/A矽力杰 青岛 180亿元 4 模拟IC N/A

资料来源:国产IC,方正证券研究所

中国大陆12英寸Fab项目布局情况

Page 80: ô B o s !-}g v ¯õ²6 >äÌ · Z LE3=3 ¯õW×õg ¢å LE4=4 ¯õW×õg ¢å SAQP= N k Ë ª¢å Cut= â (õW× ± z ¨ EUV Ðßë$ùk ÐKtï 193nm °öÑk * vãy¨J Lo , gQ%o Ú

大陆半导体晶圆代工厂资本支出和设备需求

SEMI预估中国大陆资本支出历经2019年小幅衰退后,2020年可望回温,2021年同比增长率超过20%,再写历史新高纪录。

中国大陆晶圆代工厂商扩产计划较为明确,展望2020年,中芯国际预计增加8英寸晶圆月产能25K,12英寸晶圆月产能30K;华虹半导体则计划补足12英寸晶圆规划的总产能,加上两家厂商皆有发展先进制程规划,未来资本支出还可望持续提升。

资料来源:SEMI,方正证券研究所

2018-2021年大陆晶圆代工厂资本支出(亿美元)

0

20

40

60

80

100

120

140

160

180

2019 2021

大陆半导体设备需求(亿美元)

2021年的大陆半导体设备需求(亿美元)

CAGR=12.9%

-5%

0%

5%

10%

15%

20%

25%

0

20

40

60

80

100

120

140

160

2018 2019 2020E 2021E

中国大陆晶圆厂资本支出(亿美元) 同比增长

Page 81: ô B o s !-}g v ¯õ²6 >äÌ · Z LE3=3 ¯õW×õg ¢å LE4=4 ¯õW×õg ¢å SAQP= N k Ë ª¢å Cut= â (õW× ± z ¨ EUV Ðßë$ùk ÐKtï 193nm °öÑk * vãy¨J Lo , gQ%o Ú

当前中国光刻机工艺水平

从2009年开始算起,中国研究团队一路攻坚克难,国产首套90纳米高端光刻机已经成功研制。2020年6月,上海微电子设备有限公司透露,将在2021-2022年交付首台国产28nm工艺浸没式光刻机。消息一出,意味着国产光刻机工艺从以前的90nm一举突破到28nm。

国产90nm光刻机交付意义:对于7nm顶尖制程存在较大差距,但像手机内部主板上的射频芯片、蓝牙芯片、功放芯片、路由器上的芯片、各种电器的驱动芯片等用的还是28-90nm工艺的芯片。

光电所微细加工光学技术国家重点实验室研制出来的SP光刻机是世界上第一台单次成像达到22纳米的光刻机,结合多重曝光技术,可以用于制备10纳米以下的信息器件。这不仅是世界上光学光刻的一次重大变革,也将加快推进工业4.0,实现中国制造2025的美好愿景。

90nm单工件台步进扫描投影光刻机 步进扫描投影光刻机 光电所研制的SP光刻机

资料来源:上海微电子官网,中新网,方正证券研究所

Page 82: ô B o s !-}g v ¯õ²6 >äÌ · Z LE3=3 ¯õW×õg ¢å LE4=4 ¯õW×õg ¢å SAQP= N k Ë ª¢å Cut= â (õW× ± z ¨ EUV Ðßë$ùk ÐKtï 193nm °öÑk * vãy¨J Lo , gQ%o Ú

28纳米工艺突破的战略意义

资料来源:赛迪顾问,方正证券研究所

在工艺进入28nm以下制程之后的较长一段时间里,20nm和16/14nm制程的成本一度高于28nm,与摩尔定律60多年的运行规律相反。这也使得28nm制程工艺极具性价比。

虽然目前手机芯片即将进入5nm制程,但是在物联网等众多市场,28nm仍然是主流制程工艺节点,不少晶圆厂基于28nm推出了在成本、功耗、性能等方面更具优势的工艺。

在实际应用里,28nm光刻机并不是仅能用来生产28nm芯片,经多重曝光后可生产14nm/10nm/7nm芯片,这与目前主流芯片已无本质区别。

在EUV出现前,台积电第一代7nm工艺芯片即采用多重曝光的方法制作

28nm14nm 10/7nm

两次

曝光 曝光

三次

EUV尚未堪用

4.01

2.82

1.94

1.281.42 1.49

1.31

0

0.5

1

1.5

2

2.5

3

3.5

4

4.5

90nm 65nm 45/40nm 28nm 20nm 16/14nm 10/7nm

单位晶体管成本(美元/每亿颗晶体管)

28nm为单位晶体管成本最低的节点

Page 83: ô B o s !-}g v ¯õ²6 >äÌ · Z LE3=3 ¯õW×õg ¢å LE4=4 ¯õW×õg ¢å SAQP= N k Ë ª¢å Cut= â (õW× ± z ¨ EUV Ðßë$ùk ÐKtï 193nm °öÑk * vãy¨J Lo , gQ%o Ú

28纳米工艺生命周期较长,市场空间较大

资料来源:电子信息产业网,方正证券研究所

在成本几乎相同的情况下,使用28纳米工艺制程可以给产品带来更加良好的性能优势。

与40纳米工艺相比,28纳米栅密度更高、晶体管的速度提升了约50%,每次开关时的能耗则减小了50%。而从技术可控方面,由于20/22纳米一直无法实现很好的控制。因此,综合技术和性能等各方面因素,28纳米都将成为未来很长一段时间内的关键工艺节点。

目前28纳米在非核心芯片领域应用广泛,如消费电子领域、微控制器领域、射频领域、手机AP及基带领域、图形传感器领域、混合信号领域等。

28nm性能优势

开关能耗 运行速率

40nm

28nm

0%

20%

40%

60%

80%

100%

120%

40nm 28nm高性能HP

28nm高性能低功耗HPL

赛灵思40nm技术

可选的28nm高性能工艺

赛灵思的28nm高性能低功耗工艺

相对静态功耗

40nm和28nm工艺技术实现同样性能的静态功耗对比

50%

50%

Page 84: ô B o s !-}g v ¯õ²6 >äÌ · Z LE3=3 ¯õW×õg ¢å LE4=4 ¯õW×õg ¢å SAQP= N k Ë ª¢å Cut= â (õW× ± z ¨ EUV Ðßë$ùk ÐKtï 193nm °öÑk * vãy¨J Lo , gQ%o Ú

资料来源:电子发烧友网,方正证券研究所

当前中国光刻机工艺进程

IC前道光刻机:由于技术最为复杂、难度最大,因此需求量和价值量在所有光刻机中都是最高的,中国目前与国外先进水平存在不小差距,也是急需突破的关键领域。

国 内 光 刻 机 市 场 , 除 了 应 用 于 IC 前 道 的 光 刻 机 在 不 断 的 发 展 之 外 , 封 装 光 刻 机 以 及LED/MEMS/功率器件光刻机的市场也不断的发展壮大中。其中后面两者国产化率较高。

上海微电子在封装光刻机领域已经实现了批量供货,是多家封测龙头企业(日月光、通富微电、长电科技等)的主要供货商,国内封装光刻机市场占有率高达80%。不只在国内市场有所建树,上海微电子的封装光刻机还出口海外市场,在全球市场的占有率高达40%。

面板(FPD)领域:国产光刻机厂商也在不断渗透,国际光刻机巨头尼康、佳能在FDP光刻机市场处于垄断地位,但FPD产能正在不断向国内转移,国内FPD产业正处于高速发展阶段。

中国光刻机发展水平阶梯图 封装光刻机市场占有率

IC前道光刻

FPD光刻机

LED/MEMS/功率器件光刻机

封装光刻机

Page 85: ô B o s !-}g v ¯õ²6 >äÌ · Z LE3=3 ¯õW×õg ¢å LE4=4 ¯õW×õg ¢å SAQP= N k Ë ª¢å Cut= â (õW× ± z ¨ EUV Ðßë$ùk ÐKtï 193nm °öÑk * vãy¨J Lo , gQ%o Ú

公司名称 公司简介 产品

上海微电子装备有限公司上海微电子的芯片后道封装光刻机在国内的市占率有80%,在全球的市占率达40%

自主研发的600系列光刻机,已实现90nm的量产,将交付第一台28nm

工艺的国产沉浸式光刻机

北京华卓精科科技股份有限公司由清华IC装备团队在清华大学及其下属和02专项的支持下创立,是国内唯一一家研制光刻机双工件台的设备企业

双台系列主要应用于65nm及以下节点的ArF干式、浸没式步进扫描光刻

机等

北京科益虹源光电技术有限公司北京科益虹源光电技术有限公司是中国唯一、世界第三家高能准分子激光器研发制造企业

光刻用248nm准分子激光器/光刻用干式193nm准分子激光器/光刻用浸

没式193nm准分子激光器

长春国科精密光学技术有限公司国科精密致力于极大规模集成电路光刻投影光学、显微光学、多光谱融合成像探测、超精密光机制造与检测等领域的高技术研究

高端光刻机曝光光学系统、日盲紫外探测模组、高端光学检测产品

北京国望光学科技有限公司国望光学是北京亦庄、长光所、上光所整合完成的企业,核心团队成员全部来自于长春国科精密光学技术有限公司。

我国首套90nm节点ArF投影光刻机曝光光学系统、110nm节点KrF光刻

机曝光光学系统

浙江启尔机电技术有限公司启尔机电主要从事微电子制造装备,前身为浙江大学流体动力与机电系统国家重点实验室的科研团队,主要产品为沉浸式光刻机的浸液系统

流体净化、流体测量、流体控制,光刻机浸液系统

东方晶源微电子科技公司东方晶源微电子科技(北京)有限公司掌握行业最前端的技术和市场动向,与中国科学院微电子研究所等建立了广泛的合作关系

主要用于20纳米以下极大规模半导体芯片制程的电子束图像检测装备和综合优化系统的开发及生产,为关键工

序提供高速高精度的检测系统

探寻国产光刻产业链的投资机会

资料来源:各公司官网,方正证券研究所

Page 86: ô B o s !-}g v ¯õ²6 >äÌ · Z LE3=3 ¯õW×õg ¢å LE4=4 ¯õW×õg ¢å SAQP= N k Ë ª¢å Cut= â (õW× ± z ¨ EUV Ðßë$ùk ÐKtï 193nm °öÑk * vãy¨J Lo , gQ%o Ú

上海微电子装备有限公司(SMEE)

目前国内光刻机设备商较少,在技术上与国外还存在巨大差距,且大多以激光成像技术为主,在IC前道光刻设备方面,上海微电子装备(集团)股份有限公司(SMEE)代表了国内顶尖水平。

上微电成立于2002年3月,一直在光刻机领域深耕,于2008年十五光刻机重大科技专项通过了国家科技部组织的验收。上微电透露将在2021-2022年交付第一台28nm工艺的国产沉浸式光刻机,国产光刻机将从此前的90nm工艺一举突破到28nm工艺。

资料来源:SMEE官网,方正证券研究所

2011/10SSB500系列先进封装光刻机产品被批准为“2011年度国家级重点新产品计划项目”

2012/5SSB500系列先进封装光刻机首次实现海外销售

2012/6SSB500系列先进封装光刻机产品荣获“上海市专利新产品”

2013/8国产首台用于2.5代AM-OLED TFT电路制造的SSB225/10成功交付用户

2017/1公司完成股改,正式更名为上海微电子装备(集团)股份有限公司

2018/390nm光刻机项目通过正式验收

2009/12首台先进封装光刻机产品SSB500/10A交付用户

2017/12中国首台新型显示用6代高分辨率TFT曝光机发运

2002/3上海微电子装备有限公司在张江高科技园区正式成立

2008/11十五光刻机重大科技专项通过了国家科技部组织的验收

2018/6获得由上海市人民政府授予的“上海品牌”认证荣誉称号,成为首批获得该认证的企业

2019/12获批海关总署AEO高级认证,SSB300系列光刻机入选制造业单项冠军产品

2018/9600系列IC前道投影光刻机荣获第20届中国国际工业博览会银奖

2020/1公司荣获“第三届浦东新区区长质量奖”

Page 87: ô B o s !-}g v ¯õ²6 >äÌ · Z LE3=3 ¯õW×õg ¢å LE4=4 ¯õW×õg ¢å SAQP= N k Ë ª¢å Cut= â (õW× ± z ¨ EUV Ðßë$ùk ÐKtï 193nm °öÑk * vãy¨J Lo , gQ%o Ú

上海微电子装备有限公司主营业务

主要业务:半导体装备、泛半导体装备、高端智能装备的开发、设计、制造、销售及技术服务。公司设备广泛应用于集成电路前道、先进封装、FPD面板、MEMS、LED、Power Devices等制造领域。

知识产权:截至2020年3月,SMEE直接持有各类专利及专利申请超过3200项,同时通过建设并参与产业知识产权联盟,进一步整合共享了大量联盟成员知识产权资源,涉及光刻机、激光与检测、特殊应用类等各大产品技术领域,全面覆盖了SMEE产品的主要销售地域。

资料来源:SMEE官网,中国半导体行业协会,方正证券研究所

SMEE主营介绍 国产半导体设备龙头

Page 88: ô B o s !-}g v ¯õ²6 >äÌ · Z LE3=3 ¯õW×õg ¢å LE4=4 ¯õW×õg ¢å SAQP= N k Ë ª¢å Cut= â (õW× ± z ¨ EUV Ðßë$ùk ÐKtï 193nm °öÑk * vãy¨J Lo , gQ%o Ú

SMEE股权结构

上海电气作为一家大型国有企业,是SMEE的第一大股东,持有32.09%的股权,是SMEE的实际控股人。上海科技创业投资有限公司次之,所占股权比例为13.27%。可以发现,前五大股东除了上海电气这一国企,其他均为上海本土的投资公司,这也说明SMEE的发展前景得到了外界的认可,作为国内光刻机代表企业,SMEE的未来被大众看好。

资料来源:wind,方正证券研究所

SMEE股权穿透图

Page 89: ô B o s !-}g v ¯õ²6 >äÌ · Z LE3=3 ¯õW×õg ¢å LE4=4 ¯õW×õg ¢å SAQP= N k Ë ª¢å Cut= â (õW× ± z ¨ EUV Ðßë$ùk ÐKtï 193nm °öÑk * vãy¨J Lo , gQ%o Ú

SMEE光刻机总览

前道 后道 TFT曝光LED PAD

SSA600/20

SSC600/10

SSB600/10

SSB500/40

SSB500/50

SSB300

SSB320

SSB380

SSB225

SSB245

SSB260

资料来源:SMEE官网,方正证券研究所

Page 90: ô B o s !-}g v ¯õ²6 >äÌ · Z LE3=3 ¯õW×õg ¢å LE4=4 ¯õW×õg ¢å SAQP= N k Ë ª¢å Cut= â (õW× ± z ¨ EUV Ðßë$ùk ÐKtï 193nm °öÑk * vãy¨J Lo , gQ%o Ú

600系列光刻机 —— IC前道制造

SSA600/20 SSC600/10 SSB600/10

型号 SSA600/20 SSC600/10 SSB600/10

分辨率 90nm 110nm 280nm

曝光光源 ArF excimer laser KrF excimer laser i-line mercury lamp

镜头倍率 1:4 1:4 1:4

硅片尺寸 200mm或300mm 200mm或300mm 200mm或300mm

资料来源:SMEE官网,方正证券研究所

主要参数

前道制造光刻机对制程要求较高,目前SMEE量产的是90nm制程,未来1~2年可实现最高28nm制程。目前,我国从事集成电路前道制造用光刻机的生产厂商只有上海微电子装备(集团)股份有限公司(SMEE)和中国电科(CETC)旗下的电科装备。

SSX600系列步进扫描投影光刻机作为前道制造光刻机,采用四倍缩小倍率的投影物镜、工艺自适应调焦调平技术,以及高速高精的自减振六自由度工件台掩模台技术,可满足IC前道制造90nm、110nm、280nm关键层和非关键层的光刻工艺需求。该设备可用于8寸线或12寸线的大规模工业生产。

Page 91: ô B o s !-}g v ¯õ²6 >äÌ · Z LE3=3 ¯õW×õg ¢å LE4=4 ¯õW×õg ¢å SAQP= N k Ë ª¢å Cut= â (õW× ± z ¨ EUV Ðßë$ùk ÐKtï 193nm °öÑk * vãy¨J Lo , gQ%o Ú

500系列光刻机 —— IC后道先进封装

作为IC后道先进封装设备,SSB500系列步进投影光刻机不仅适用于晶圆级封装的重新布线(RDL)以及Flip Chip 工艺中常用的金凸块、焊料凸块、铜柱等先进封装光刻工艺,还可以通过选配背面对准模块,满足MEMS 和2.5D/3D封装的TSV光刻工艺需求。

由于前道光刻机的产业化应用难度非常高,相比较而言,后道的先进封装对光刻机的应用越来越广泛,门槛也相对较低,可以先行进入。SMEE抓住这个契机,开发了适用于先进封装行业的500系列光刻机。此前,后道光刻机完全依赖于进口,而今SMEE已经占领了80%以上的国内市场。

资料来源:SMEE官网,方正证券研究所SSB500/50

产品特征● 支持翘曲片、键合片曝光● 超大视场(33mm×53.5mm、44mm×44mm),实现高产率生产● 高精度套刻能力● 高精度温度控制能力,实现高能量曝光条件下的稳定生产● 多种双面对准装置,配备红外支持可见光直接测量

型号 SSB500/40 SSB500/50

分辨率 2μm 1μm

曝光光源 ghi-line/gh line/i-line mercury lamp

ghi-line/gh line/i-line mercury lamp

硅片尺寸 200mm/300mm 200mm/300mm

背面对准 可选 可选

SSB500/40

Page 92: ô B o s !-}g v ¯õ²6 >äÌ · Z LE3=3 ¯õW×õg ¢å LE4=4 ¯õW×õg ¢å SAQP= N k Ë ª¢å Cut= â (õW× ± z ¨ EUV Ðßë$ùk ÐKtï 193nm °öÑk * vãy¨J Lo , gQ%o Ú

300系列光刻机 —— LED、MEMS、Power Devices制造

SSB300系列光刻机面向6英寸以下中小基底先进光刻应用领域,满足HB-LED、MEMS和Power Devices等领域单面或双面光刻工艺需求,占有率达20%左右。

产品特征:高分辨率,分辨率可达0.8μm;高速在线MAPPING技术;高精度拼接;多尺寸基底自适应切换;高产能;高精度套刻;完美匹配ALIGNER

资料来源:SMEE官网,方正证券研究所

主要参数

SSB300 SSB380SSB320

型号 SSB300 SSB320 SSB380

分辨率 0.8μm 2μm 1.5μm

曝光光源 i-line mercury lamp i-line mercury lamp i-line mercury lamp

基底尺寸 100/150 mm 100/150 mm 100/150mm

工艺应用 支持LED PAD/PSS工艺 支持LED PAD工艺 支持LED PAD工艺

可选配置 背面对准和可变狭缝 背面对准和可变狭缝 背面对准和可变狭缝

Page 93: ô B o s !-}g v ¯õ²6 >äÌ · Z LE3=3 ¯õW×õg ¢å LE4=4 ¯õW×õg ¢å SAQP= N k Ë ª¢å Cut= â (õW× ± z ¨ EUV Ðßë$ùk ÐKtï 193nm °öÑk * vãy¨J Lo , gQ%o Ú

200系列光刻机 —— TFT曝光

资料来源:SMEE官网,方正证券研究所

主要参数

SSB225 SSB260SSB245

型号 分辨率 套刻精度 基板尺寸

SSB225/10 2μm L/S 0.6μm370mm×470mm500mm×500mm

SSB225/20 1.5μm L/S 0.5μm370mm×470mm500mm×500mm

SSB245/10 2μm L/S 0.6μm 730mm×920mmSSB245/20 1.5μm L/S 0.5μm 730mm×920mm

SSB260/10T 2μm L/S 0.6μm1300mm×1500mm1500mm×1850mm

SSB260/20T 1.5μm L/S 0.5μm1300mm×1500mm1500mm×1850mm

SSB200系列投影光刻机采用先进的投影光刻机平台技术,专用于AM-OLED和LCD显示屏TFT电路制造,可应用于2.5代~6代的TFT显示屏量产线。该系列设备具备高分辨率、高套刻精度等特性,支持6英寸掩模,显著降低用户使用成本。

产品特征:高精度,分辨率可达1.5μm;支持小Mask,可用6英寸掩模实现12英寸屏幕制造;具备智能化校准及诊断功能,方便设备参数校调及用户周期性维护;快速灵活的客制化服务

Page 94: ô B o s !-}g v ¯õ²6 >äÌ · Z LE3=3 ¯õW×õg ¢å LE4=4 ¯õW×õg ¢å SAQP= N k Ë ª¢å Cut= â (õW× ± z ¨ EUV Ðßë$ùk ÐKtï 193nm °öÑk * vãy¨J Lo , gQ%o Ú

2012年5月9日,北京华卓精科科技股份有限公司由清华IC装备团队在清华大学及其下属“北京-清华工业技术研究院”和02专项的支持下创立,是一家肩负着专项重大科研成果产业化重任的高新技术企业。公司建立初衷在于将清华大学在02专项中积累的高端垄断技术落地产业化,通过“技术辐射&下行”的方式,面向国内市场提供产业界急需的高端零部件、子系统类产品。华卓精科主要从事半导体制造装备及其关键零部件研发、设计、生产、销售与技术服务。

主营业务&产品:面向国内外的IC制造、光学、超精密制造等行业,致力于为行业提供整机装备、核心子系统、关键零部件和定制服务,主营产品包含高端整机、超精密运动系统、精密仪器设备和高端特种制造等方面。

资料来源:华卓精科官网,方正证券研究所

发展历程

华卓精科-国内唯一一家研制光刻机双工件台的设备企业

Page 95: ô B o s !-}g v ¯õ²6 >äÌ · Z LE3=3 ¯õW×õg ¢å LE4=4 ¯õW×õg ¢å SAQP= N k Ë ª¢å Cut= â (õW× ± z ¨ EUV Ðßë$ùk ÐKtï 193nm °öÑk * vãy¨J Lo , gQ%o Ú

华卓精科-国内唯一一家研制光刻机双工件台的设备企业

资料来源:华卓精科官网,方正证券研究所

华卓精科面向国内外的IC制造、光学、超精密制造等行业,致力于为行业提供整机装备、核心子系统、关键零部件和定制服务,主营产品包含高端整机、超精密运动系统、精密仪器设备和高端特种制造等方面。华卓精科以国内著名高校的原发技术为基础,经过多年技术攻关和客户实用,形成了坚实规范的技术研发体系,现在已经成功推出了光刻机双工件台、精密隔振、超精密运动系统、关键零部件等系列化产品。

气浮平面电机的硅片台双台交换系统,具有两个结构相同的分别工作于预处理工位和曝光工位的硅片台,采用平面电机和气浮结构进行驱动和支承,该发明解决了提高硅片台运动速度、加速度和运动定位精度过程中出现的多项技术难题。

简化了系统结构

降低了系统负载

提高了控制精度

采用气浮平面电机的硅片台双台交换系统第二十届中国专利银奖

Page 96: ô B o s !-}g v ¯õ²6 >äÌ · Z LE3=3 ¯õW×õg ¢å LE4=4 ¯õW×õg ¢å SAQP= N k Ë ª¢å Cut= â (õW× ± z ¨ EUV Ðßë$ùk ÐKtï 193nm °öÑk * vãy¨J Lo , gQ%o Ú

资料来源:华卓精科官网,方正证券研究所

华卓精科-主营业务&产品

光刻机工件台系统

超精密运动定位平台

高性能主动隔振系统

激光快速退火设备

静电卡盘

主要应用于65nm及以下节点的ArF干式、浸没式步进扫描光刻机、KrF步进扫描光刻机,单台系列产品应用于i线、g线步进扫描光刻机及封装光刻机等。

广泛应用于光学高分辨率检测、电子及半导体制造装备、激光精密加工等行业领域。 采用了先进的模块化、标准化、快速集成模式,能够提供高端个性化定制服务。

广泛应用于制造、检测领域的精密/超精密仪器、设备的隔振与减震。也可应用于科研院所的精密/超精密仪器、设备隔振。

主要应用于IGBT(绝缘栅场效应晶体管)背退火,还可应用于集成电路超浅结快速退火以及太阳能、TFT、LED、MEMS等领域。

利用静电吸附原理进行超薄晶圆片的平整均匀夹持该产品广泛应用于PVD、PECVD、ETCH、EUVL、离子注入等高端半导体制造装备。

Page 97: ô B o s !-}g v ¯õ²6 >äÌ · Z LE3=3 ¯õW×õg ¢å LE4=4 ¯õW×õg ¢å SAQP= N k Ë ª¢å Cut= â (õW× ± z ¨ EUV Ðßë$ùk ÐKtï 193nm °öÑk * vãy¨J Lo , gQ%o Ú

华卓精科——财务表现分析

资料来源:华卓精科官网,方正证券研究所

华卓精科2013年以来营收及增长 华卓精科2013年以来扣非后归母净利润及增长

由于公司在2018年退市,因此从2018年中报及以前所披露的数据来看,营业收入呈逐年上升的趋势,但增长率逐年下降。

2013年至2017年归母净利润不稳定,除2016年外大体呈上升趋势,其中2016 年度归母净利润骤减的原因是政府补助仅增加营业外收入1097万元,研发支出费用化,增加管理费用1893万元,导致2016年营业利润下降; 2017 年度大幅增加是源于会计政策变更,政府补助部分不计入营业外收入。

-1000%

-500%

0%

500%

1000%

1500%

2000%

2500%

3000%

-600

-400

-200

0

200

400

600

800

1,000

1,200

1,400

2013 2014 2015 2016 2017 2018H1

扣非后归属母公司股东的净利润(百万元) 同比增长

-100%

-50%

0%

50%

100%

150%

200%

250%

0

1,000

2,000

3,000

4,000

5,000

6,000

2013 2014 2015 2016 2017 2018H1

营业总收入(百万元) 同比增长

Page 98: ô B o s !-}g v ¯õ²6 >äÌ · Z LE3=3 ¯õW×õg ¢å LE4=4 ¯õW×õg ¢å SAQP= N k Ë ª¢å Cut= â (õW× ± z ¨ EUV Ðßë$ùk ÐKtï 193nm °öÑk * vãy¨J Lo , gQ%o Ú

华卓精科——盈利能力分析

资料来源:wind,方正证券研究所

从毛利润走势来看,呈稳步上升的趋势,从2013年的43.37%增至2018年的60.68%,盈利能力逐渐增强;净利率2013年至2015年增幅最大,从-9.65%增至26.76%,之后有小幅下降,降至2018年的21.41%。

华卓精科研发费用除2017年外均保持相对稳定,2017年突增至1666万元;研发占比逐年下降,2015年之后在15%上下浮动。2017 年研发费用突增的原因主要是承担了国家02专项中浸没式工件台项目,此项目是面向28nm节点浸没式光刻机的需求,突破一批制约浸没式双工件台产品研制的关键技术和核心工艺。

华卓精科2013-2018年Q2毛利率走势图 华卓精科2013-2018Q2年研发费用和研发占比

-20

-10

0

10

20

30

40

50

60

70

2013 2014 2015 2016 2017 2018Q2

销售毛利率(%) 销售净利率(%)

0%

20%

40%

60%

80%

100%

120%

0

200

400

600

800

1,000

1,200

1,400

1,600

1,800

2013 2014 2015 2016 2017 2018Q2研发费用(万元) 研发费用占比

Page 99: ô B o s !-}g v ¯õ²6 >äÌ · Z LE3=3 ¯õW×õg ¢å LE4=4 ¯õW×õg ¢å SAQP= N k Ë ª¢å Cut= â (õW× ± z ¨ EUV Ðßë$ùk ÐKtï 193nm °öÑk * vãy¨J Lo , gQ%o Ú

科益虹源——国产光刻机光源

上微电即将交付的28nm光刻机光源部分将由科益虹源完成,科益虹源属于北京国资委旗下企业,中科院子公司。

北京科益虹源光电技术有限公司是中国唯一、世界第三家高能准分子激光器研发制造企业,2018年自主研发设计生产成功后,打破了国外厂商对该技术产品的长期垄断。

科益虹源承担国家02项光刻机核心部件准分子激光器,全面开展28nm浸没式曝光光源项目开发,完成了193nm样机实验,进入国际最高端的DUV光刻光源产品系列。目前已完成6khz、60w光刻机光源的制造,该光源即为现阶段主流ArF光刻机光源。

科益虹源股权结构图

北京科益虹源光电技术有限公司

中国科学院光电研究院

北京亦庄国际投资发展有限公司

中国科学院微电子研究所

北京经济技术开发区财政审计局

100%

国科科仪控股有限公司

中国科学院控股有限公司

100%实际控制人最终受益人

31.25% 30% 19% 6.25%

资料来源:Wind,方正证券研究所

2016年度中科院成果在京转化特等奖

2018年度中关村-中科院成果转化最具潜力奖

2018年度北京双创周“十大双创硬创科技示范成果”

科益虹源所获奖项

Page 100: ô B o s !-}g v ¯õ²6 >äÌ · Z LE3=3 ¯õW×õg ¢å LE4=4 ¯õW×õg ¢å SAQP= N k Ë ª¢å Cut= â (õW× ± z ¨ EUV Ðßë$ùk ÐKtï 193nm °öÑk * vãy¨J Lo , gQ%o Ú

科益虹源主要有三个系列产品:光刻用248nm准分子激光器、光刻用干式193nm准分子激光器和光刻用浸没式193nm准分子激光器,主要用于90nm和65nm以下节点光刻机。

科益虹源研发的光刻用准分子激光系统打破了美国和日本的长期垄断地位。样机完成相关实验验证后,将开始向上海微电子装备集团提供订单。

科益虹源集成电路光刻光源制造项目将在徐州开工,项目总投资约5亿元,建筑面积约1.2万平方米,年产RS222型光刻准分子激光器、光刻用准分子激光器、405光纤耦合头等各类设备30台(套)。

资料来源:乐居网,方正证券研究所

科益虹源——国产光刻机光源

Page 101: ô B o s !-}g v ¯õ²6 >äÌ · Z LE3=3 ¯õW×õg ¢å LE4=4 ¯õW×õg ¢å SAQP= N k Ë ª¢å Cut= â (õW× ± z ¨ EUV Ðßë$ùk ÐKtï 193nm °öÑk * vãy¨J Lo , gQ%o Ú

国科精密——国内首个曝光光学系统与物镜系统

长春国科精密光学技术有限公司通过承担“国家科技重大专项02专项”核心光学任务,建立了专业的研发团队,建成了国际水平的超精密光机系统研发与制造平台。

2016年公司研发的我国首套用于高端IC制造的NA0.75投影光刻机物镜系统顺利交付用户,标志着我国超精密光学技术已跻身国际先进行列。

Epolith A075型曝光光学系统是“国家科技重大专项02专项”的核心研究成果之一,是我国首套具有全部自主知识产权的90nm节点光刻机曝光光学系统,为浸没式光刻机曝光光学系统的研发与产业化奠定了良好的技术与产业化基础。

资料来源:国科精密官网,方正证券研究所

型号 Epolith A075

工作波长 193 nm

数值孔径 0.75

视场 26 mm×10.5 mm

波前像差(RMS, Z5-Z37)

≤5.1 nm

畸变(NCE) ≤5.7 nm

Y向照明分布的静态积分沿X轴的分布均匀性

≤0.8%

光瞳椭圆度 ≤4%

90nm密集线电镜检测照片 90nm水平/垂向密集线顶部视图

国产设备SSA600LER 4.9nm@BF

分辨率测试:90nm密集线,线与空隙比为1:1,截距Pitch为180nm

线宽边缘粗糙度测试:90nm线宽边缘粗糙度LER~5.0nm

Epolith A075型曝光光学系统规格参数

Page 102: ô B o s !-}g v ¯õ²6 >äÌ · Z LE3=3 ¯õW×õg ¢å LE4=4 ¯õW×õg ¢å SAQP= N k Ë ª¢å Cut= â (õW× ± z ¨ EUV Ðßë$ùk ÐKtï 193nm °öÑk * vãy¨J Lo , gQ%o Ú

国望光学——国产光刻机物镜组

国望光学是北京亦庄、长光所、上光所整合完成的企业,核心团队成员全部来自于长春国科精密光学技术有限公司。国望光学研发的我国首套90nm节点ArF投影光刻机曝光光学系统已于2016年顺利交付,此项成果标志着我国超精密光学技术已全面形成并跻身国际先进行列。所承接的110nm节点KrF光刻机曝光光学系统的产品研发工作也近尾声。

国望光学承担国家02专项核心任务——面向28nm节点的ArF浸没式光刻曝光光学系统的研发攻关,目前任务进展顺利。

2019年下半年国望光学启动亦庄园区B13地块的开发,该项目规划投资60亿元,占地近110亩,预计3年完工。基地建成后,国望光学将拥有110nm/90nm/28nm及以下节点极大规模IC制造投影光刻机曝光光学系统产品的研发、设计与批量生产供货能力。

资料来源:Wind,方正证券研究所

国望光学与国科精密

02专项:光学部分

长春光机所(物镜系

统)

上海光机所(照明系

统)

产业转化

长春国科精密公司

股东战略重组,全资收购北京国望光学科技有限公司

北京亦庄国际投资发展有限公司

中国科学院长春光学精密机械与

物理研究所

中国科学院上海光学精密机

械研究所杨怀江

北京经济技术开发区财政审计局

100%

66.7% 14.7%13.3% 2%

同时担任国望光学与国科精密的总经理一职

64.81%控股 12.963%控股

国科精密

Page 103: ô B o s !-}g v ¯õ²6 >äÌ · Z LE3=3 ¯õW×õg ¢å LE4=4 ¯õW×õg ¢å SAQP= N k Ë ª¢å Cut= â (õW× ± z ¨ EUV Ðßë$ùk ÐKtï 193nm °öÑk * vãy¨J Lo , gQ%o Ú

启尔机电——国产光刻机浸液系统

启尔机电主要从事微电子制造装备,公司前身为浙江大学流体动力与机电系统国家重点实验室的科研团队,主要产品为沉浸式光刻机四大核心部件之一的浸液系统,目前研发进度仅次于荷兰ASML及日本Nikon。

该科研团队在国家863计划和国家重大专项等科研项目支持下,对“光刻机浸液系统”开展了十余年的技术攻关和产品研发,拥有国内领域最强技术积累和100余项发明专利。浙江启尔机电青山湖基地项目是国家02科技重大专项,专攻浸液系统,整个项目共占地25亩。

启尔机电浸没式系统研发总体方案 启尔机电发展历程

资料来源:启尔机电官网,浙江大学,方正证券研究所

2013年5月,浙江启尔机电技术有限公司成立。

2017年8月,签约入驻杭州青山湖科技城。

2017年9月,获批承担国家科技重大专项。

2019年12月,启尔电机担负的浸液系统完成国家02专项项目审查。

Page 104: ô B o s !-}g v ¯õ²6 >äÌ · Z LE3=3 ¯õW×õg ¢å LE4=4 ¯õW×õg ¢å SAQP= N k Ë ª¢å Cut= â (õW× ± z ¨ EUV Ðßë$ùk ÐKtï 193nm °öÑk * vãy¨J Lo , gQ%o Ú

东方晶源——国产光刻机缺陷检测及优化系统

东方晶源微电子科技(北京)有限公司成立于2014年,总部位于北京亦庄园区。

东方晶源的产品主要用于20纳米以下极大规模半导体芯片制程的电子束图像检测装备和综合优化系统的开发及生产,为关键工序提供高速高精度的检测系统。

东方晶源掌握行业最前端的技术和市场动向,与中国科学院微电子研究所等建立了广泛的合作关系,并得到包括中国科技部的认可和支持。

集成电路产业是国家战略性新兴产业的重要组成部分,在国家大力扶持的利好形势下,东方晶源的全力支持将会为成功提供有力保障。

SEpAI新型CD-SEM/EDS

资料来源:东方晶源官网,方正证券研究所

主要特点: 适用于硅片量产的检测需求 高空间分辨率

(最高可达3nm) 高稳定度全自动检测

(检测误差可控制在1%以内) 低真空技术

(有效抑制样品表面荷电效应) 能量色散X射线光谱(EDS)

(快捷简易的缺陷分析)

Page 105: ô B o s !-}g v ¯õ²6 >äÌ · Z LE3=3 ¯õW×õg ¢å LE4=4 ¯õW×õg ¢å SAQP= N k Ë ª¢å Cut= â (õW× ± z ¨ EUV Ðßë$ùk ÐKtï 193nm °öÑk * vãy¨J Lo , gQ%o Ú

风险提示

1、光刻机核心组件研发不及预期;

2、半导体行业景气度不及预期;

3、国外顶尖制程工艺再度突破。

Page 106: ô B o s !-}g v ¯õ²6 >äÌ · Z LE3=3 ¯õW×õg ¢å LE4=4 ¯õW×õg ¢å SAQP= N k Ë ª¢å Cut= â (õW× ± z ¨ EUV Ðßë$ùk ÐKtï 193nm °öÑk * vãy¨J Lo , gQ%o Ú

分析师声明作者具有中国证券业协会授予的证券投资咨询执业资格,保证报告所采用的数据和

信息均来自公开合规渠道,分析逻辑基于作者的职业理解,本报告清晰准确地反映了作者的研究观点,力求独立、客观和公正,结论不受任何第三方的授意或影响。研究报告对所涉及的证券或发行人的评价是分析师本人通过财务分析预测、数量化方法、或行业比较分析所得出的结论,但使用以上信息和分析方法存在局限性。特此声明。

免责声明本研究报告由方正证券制作及在中国(香港和澳门特别行政区、台湾省除外)发布。

本研究报告仅供方正证券的客户使用,本公司不会因接收人收到本报告而视其为本公司的当然客户。

在任何情况下,本报告的内容不构成对任何人的投资建议,也没有考虑到个别客户特殊的投资目标、财务状况或需求,方正证券不对任何人因使用本报告所载任何内容所引致的任何损失负任何责任,投资者需自行承担风险。

Page 107: ô B o s !-}g v ¯õ²6 >äÌ · Z LE3=3 ¯õW×õg ¢å LE4=4 ¯õW×õg ¢å SAQP= N k Ë ª¢å Cut= â (õW× ± z ¨ EUV Ðßë$ùk ÐKtï 193nm °öÑk * vãy¨J Lo , gQ%o Ú

本报告版权仅为方正证券所有,本公司对本报告保留一切法律权利。未经本公司事先书面授权,任何机构或个人不得以任何形式复制、转发或公开传播本报告的全部或部分内容,不得将报告内容作为诉讼、仲裁、传媒所引用之证明或依据,不得用于营利或用于未经允许的其它用途。如需引用、刊发或转载本报告,需注明出处且不得进行任何有悖原意的引用、删节和修改。

公司投资评级的说明

强烈推荐:分析师预测未来半年公司股价有20%以上的涨幅;

推荐:分析师预测未来半年公司股价有10%以上的涨幅;

中性:分析师预测未来半年公司股价在-10%和10%之间波动;

减持:分析师预测未来半年公司股价有10%以上的跌幅。

行业投资评级的说明

推荐:分析师预测未来半年行业表现强于沪深300指数;

中性:分析师预测未来半年行业表现与沪深300指数持平;

减持:分析师预测未来半年行业表现弱于沪深300指数。

Page 108: ô B o s !-}g v ¯õ²6 >äÌ · Z LE3=3 ¯õW×õg ¢å LE4=4 ¯õW×õg ¢å SAQP= N k Ë ª¢å Cut= â (õW× ± z ¨ EUV Ðßë$ùk ÐKtï 193nm °öÑk * vãy¨J Lo , gQ%o Ú

THANKS

专注 专心 专业

北京市西城区展览路48号新联写字楼6层

上海市浦东新区新上海国际大厦33层

广东省深圳市福田区竹子林四路紫竹七路18号光大银行大厦31楼

湖南省长沙市天心区湘江中路二段36号华远国际中心37层

方正证券研究所